loadpatents
name:-0.22451496124268
name:-0.17911100387573
name:-0.14837098121643
MALLICK; Abhijit Basu Patent Filings

MALLICK; Abhijit Basu

Patent Applications and Registrations

Patent applications and USPTO patent grants for MALLICK; Abhijit Basu.The latest application filed is for "method for si gap fill by pecvd".

Company Profile
117.150.200
  • MALLICK; Abhijit Basu - Fremont CA
  • Mallick; Abhijit Basu - Palo Alto CA
  • Mallick; Abhijit Basu - Sunnyvale CA
  • Mallick; Abhijit Basu - Paio Aito CA
  • Mallick; Abhijit Basu - Pali Alto CA
  • Mallick; Abhijit Basu - Palo Atto CA
  • Mallick; Abhijit Basu - Frement CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Method For Si Gap Fill By Pecvd
App 20220310448 - LIU; Xin ;   et al.
2022-09-29
Low temperature high-quality dielectric films
Grant 11,430,655 - Venkatasubramanian , et al. August 30, 2
2022-08-30
Self-aligned structures from sub-oxides
Grant 11,414,751 - Gandikota , et al. August 16, 2
2022-08-16
Conformal High Concentration Boron Doping Of Semiconductors
App 20220246432 - Gandikota; Srinivas ;   et al.
2022-08-04
Deposition of low-stress carbon-containing layers
Grant 11,404,263 - Wang , et al. August 2, 2
2022-08-02
Multicolor Approach To DRAM STI Active Cut Patterning
App 20220238531 - Singh; Tejinder ;   et al.
2022-07-28
Low-k Boron Carbonitride Films
App 20220223409 - Shen; Zeqing ;   et al.
2022-07-14
Low Temperature Graphene Growth
App 20220216058 - Wang; Jialiang ;   et al.
2022-07-07
Defect Free Germanium Oxide Gap Fill
App 20220189824 - Wang; Huiyuan ;   et al.
2022-06-16
Super-conformal Germanium Oxide Films
App 20220186365 - Wang; Huiyuan ;   et al.
2022-06-16
Method for Si gap fill by PECVD
Grant 11,361,991 - Liu , et al. June 14, 2
2022-06-14
Thermal deposition of doped silicon oxide
Grant 11,355,354 - Shen , et al. June 7, 2
2022-06-07
Conformal Silicon-germanium Film Deposition
App 20220165566 - Wang; Huiyuan ;   et al.
2022-05-26
Diamond-like carbon film
Grant 11,332,376 - Venkatasubramanian , et al. May 17, 2
2022-05-17
Multicolor approach to DRAM STI active cut patterning
Grant 11,335,690 - Singh , et al. May 17, 2
2022-05-17
Conformal high concentration boron doping of semiconductors
Grant 11,328,928 - Gandikota , et al. May 10, 2
2022-05-10
Depositing Low Roughness Diamond Films
App 20220127721 - Sahmuganathan; Vicknesh ;   et al.
2022-04-28
Conformal Silicon Oxide Film Deposition
App 20220130658 - Shen; Zeqing ;   et al.
2022-04-28
Hdp Sacrificial Carbon Gapfill
App 20220127718 - Shen; Zeqing ;   et al.
2022-04-28
Bottom-up approach to high aspect ratio hole formation in 3D memory structures
Grant 11,315,943 - Gopalraja , et al. April 26, 2
2022-04-26
Selective Deposition of Germanium
App 20220108888 - Wang; Huiyuan ;   et al.
2022-04-07
Doping Semiconductor Films
App 20220093390 - Aydin; Aykut ;   et al.
2022-03-24
Diffusion Barriers For Germanium
App 20220068640 - Wang; Huiyuan ;   et al.
2022-03-03
Tribological Properties Of Diamond Films
App 20220068643 - Sahmuganathan; Vicknesh ;   et al.
2022-03-03
Deposition Of Low-stress Carbon-containing Layers
App 20220044926 - Wang; Huiyuan ;   et al.
2022-02-10
Deposition Of Low-stress Boron-containing Layers
App 20220044927 - Wang; Huiyuan ;   et al.
2022-02-10
Conformal doped amorphous silicon as nucleation layer for metal deposition
Grant 11,244,824 - Cheng , et al. February 8, 2
2022-02-08
Bottom-up growth of silicon oxide and silicon nitride using sequential deposition-etch-treat processing
Grant 11,236,418 - Cheng , et al. February 1, 2
2022-02-01
Molecular Layer Deposition Method And System
App 20220028686 - Bhuyan; Bhaskar ;   et al.
2022-01-27
Methods of etching metal oxides with less etch residue
Grant 11,232,955 - Mullick , et al. January 25, 2
2022-01-25
Flowable Film Formation And Treatments
App 20220020594 - Jiang; Shishi ;   et al.
2022-01-20
Methods For Producing High-density Doped-carbon Films For Hardmask And Other Patterning Applications
App 20210407801 - VENKATASUBRAMANIAN; Eswaranand ;   et al.
2021-12-30
Ultra-thin Films With Transition Metal Dichalcogenides
App 20210404056 - Roy; Susmit Singha ;   et al.
2021-12-30
High Pressure Oxidation of Metal Films
App 20210404046 - Mullick; Amrita B. ;   et al.
2021-12-30
Doped And Undoped Vanadium Oxides For Low-K Spacer Applications
App 20210358744 - Venkatasubramanian; Eswaranand ;   et al.
2021-11-18
Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
Grant 11,177,128 - Manna , et al. November 16, 2
2021-11-16
Selective deposition of carbon films and uses thereof
Grant 11,177,174 - Roy , et al. November 16, 2
2021-11-16
Self-aligned high aspect ratio structures and methods of making
Grant 11,177,164 - Roy , et al. November 16, 2
2021-11-16
Selective Deposition Of Silicon Using Deposition-Treat-Etch Process
App 20210351035 - Cheng; Rui ;   et al.
2021-11-11
Stack For 3d-nand Memory Cell
App 20210327891 - Koshizawa; Takehito ;   et al.
2021-10-21
High Bias Deposition of High Quality Gapfill
App 20210327752 - Gottheim; Samuel E. ;   et al.
2021-10-21
Catalytic Formation Of Boron And Carbon Films
App 20210305041 - Qi; Bo ;   et al.
2021-09-30
High pressure oxidation of metal films
Grant 11,131,015 - Mullick , et al. September 28, 2
2021-09-28
Hydrogen Free Silicon Dioxide
App 20210254210 - Shen; Zeqing ;   et al.
2021-08-19
Methods of forming self-aligned vias
Grant 11,094,544 - Thompson , et al. August 17, 2
2021-08-17
Doped and undoped vanadium oxides for low-k spacer applications
Grant 11,094,533 - Venkatasubramanian , et al. August 17, 2
2021-08-17
Selective deposition of silicon using deposition-treat-etch process
Grant 11,081,348 - Cheng , et al. August 3, 2
2021-08-03
Ultra-thin diffusion barriers
Grant 11,069,568 - Roy , et al. July 20, 2
2021-07-20
Selective atomic layer deposition of ruthenium
Grant 11,066,743 - Chen , et al. July 20, 2
2021-07-20
High bias deposition of high quality gapfill
Grant 11,062,939 - Gottheim , et al. July 13, 2
2021-07-13
Conformal Hermetic Film Deposition By Cvd
App 20210210339 - MANNA; Pramit ;   et al.
2021-07-08
Silicide Films Through Selective Deposition
App 20210202256 - Srinivasan; Swaminathan ;   et al.
2021-07-01
Conformal carbon film deposition
Grant 11,043,379 - Manna , et al. June 22, 2
2021-06-22
High-density low temperature carbon films for hardmask and other patterning applications
Grant 11,043,372 - Venkatasubramanian , et al. June 22, 2
2021-06-22
Graphene Diffusion Barrier
App 20210167021 - Wu; Yong ;   et al.
2021-06-03
Gapfill using reactive anneal
Grant 11,011,384 - Mallick , et al. May 18, 2
2021-05-18
Thermal silicon etch
Grant 11,004,689 - Li , et al. May 11, 2
2021-05-11
Multicolor Approach To DRAM STI Active Cut Patterning
App 20210134807 - Singh; Tejinder ;   et al.
2021-05-06
3D NAND Etch
App 20210118691 - Jiang; Shishi ;   et al.
2021-04-22
Diamond-like carbon as mandrel
Grant 10,954,129 - Koshizawa , et al. March 23, 2
2021-03-23
Selective and self-limiting tungsten etch process
Grant 10,950,498 - Roy , et al. March 16, 2
2021-03-16
Silicide films through selective deposition
Grant 10,950,450 - Srinivasan , et al. March 16, 2
2021-03-16
Geometric control of bottom-up pillars for patterning applications
Grant 10,930,503 - Duan , et al. February 23, 2
2021-02-23
Non-Conformal High Selectivity Film For Etch Critical Dimension Control
App 20210047733 - Qi; Bo ;   et al.
2021-02-18
Self-Aligned Structures From Sub-Oxides
App 20210047728 - Gandikota; Srinivas ;   et al.
2021-02-18
Bottom-Up Approach To High Aspect Ratio Hole Formation In 3D Memory Structures
App 20210050365 - Gopalraja; Praburam ;   et al.
2021-02-18
Low Temperature High-Quality Dielectric Films
App 20210043450 - Venkatasubramanian; Eswaranand ;   et al.
2021-02-11
Carbon Hard Masks For Patterning Applications And Methods Related Thereto
App 20210043449 - VENKATASUBRAMANIAN; Eswaranand ;   et al.
2021-02-11
Graphene diffusion barrier
Grant 10,916,505 - Wu , et al. February 9, 2
2021-02-09
Multicolor approach to DRAM STI active cut patterning
Grant 10,910,381 - Singh , et al. February 2, 2
2021-02-02
Methods For Gapfill In High Aspect Ratio Structures
App 20210028055 - Manna; Pramit ;   et al.
2021-01-28
Flowable Film Curing Using H2 Plasma
App 20210025058 - JIANG; Shishi ;   et al.
2021-01-28
Methods of Forming Tungsten Pillars
App 20210013038 - Mallick; Abhijit Basu ;   et al.
2021-01-14
3D NAND etch
Grant 10,886,140 - Jiang , et al. January 5, 2
2021-01-05
Methods for wordline separation in 3D-NAND devices
Grant 10,886,172 - Chen , et al. January 5, 2
2021-01-05
A Method For Si Gap Fill By Pecvd
App 20200411371 - LIU; Xin ;   et al.
2020-12-31
Low Deposition Rates For Flowable Pecvd
App 20200385865 - Jiang; Shishi ;   et al.
2020-12-10
Apparatus And Methods For Manufacturing Semiconductor Structures Using Protective Barrier Layer
App 20200388486 - MANNA; Pramit ;   et al.
2020-12-10
Metal deposition methods
Grant 10,851,454 - Wu , et al. December 1, 2
2020-12-01
Methods of lowering wordline resistance
Grant 10,854,511 - Chen , et al. December 1, 2
2020-12-01
Methods of forming self-aligned vias and air gaps
Grant 10,840,186 - Roy , et al. November 17, 2
2020-11-17
Low temperature high-quality dielectric films
Grant 10,840,088 - Venkatasubramanian , et al. November 17, 2
2020-11-17
Controlled growth of thin silicon oxide film at low temperature
Grant 10,818,490 - Jiang , et al. October 27, 2
2020-10-27
Diamond-Like Carbon Film
App 20200331762 - Venkatasubramanian; Eswaranand ;   et al.
2020-10-22
Conformal Doped Amorphous Silicon As Nucleation Layer For Metal Deposition
App 20200335334 - Cheng; Rui ;   et al.
2020-10-22
Methods for gapfill in high aspect ratio structures
Grant 10,811,303 - Manna , et al. October 20, 2
2020-10-20
Surface-selective atomic layer deposition using hydrosilylation passivation
Grant 10,790,141 - Chan , et al. September 29, 2
2020-09-29
Deposition of silicon and oxygen-containing films without an oxidizer
Grant 10,790,139 - Underwood , et al. September 29, 2
2020-09-29
Methods of forming tungsten pillars
Grant 10,784,107 - Mallick , et al. Sept
2020-09-22
Critical dimension control for self-aligned contact patterning
Grant 10,770,349 - Mallick , et al. Sep
2020-09-08
Volumetric Expansion Of Metal-Containing Films By Silicidation
App 20200279772 - Roy; Susmit Singha ;   et al.
2020-09-03
Diamond-like carbon film
Grant 10,745,282 - Venkatasubramanian , et al. A
2020-08-18
Oxidative volumetric expansion of metals and metal containing compounds
Grant 10,741,435 - Roy , et al. A
2020-08-11
Bottom-Up Growth of Silicon Oxide and Silicon Nitride Using Sequential Deposition-Etch-Treat Processing
App 20200248303 - Kind Code
2020-08-06
Methods for Wordline Separation in 3D-NAND Devices
App 20200243382 - Chen; Yihong ;   et al.
2020-07-30
Silicide Films Through Selective Deposition
App 20200227265 - Srinivasan; Swaminathan ;   et al.
2020-07-16
Methods Of Etching Metal Oxides With Less Etch Residue
App 20200227275 - Mullick; Amrita B. ;   et al.
2020-07-16
Selectively deposited parylene masks and methods related thereto
Grant 10,714,339 - Wang , et al.
2020-07-14
Deposition and treatment of films for patterning
Grant 10,699,952 - Basu , et al.
2020-06-30
Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
Grant 10,699,903 - Manna , et al.
2020-06-30
Ultra-thin Diffusion Barriers
App 20200194307 - ROY; Susmit Singha ;   et al.
2020-06-18
Self-Aligned High Aspect Ratio Structures And Methods Of Making
App 20200194304 - Roy; Susmit Singha ;   et al.
2020-06-18
Conformal Halogen Doping In 3D Structures Using Conformal Dopant Film Deposition
App 20200194571 - Cheng; Rui ;   et al.
2020-06-18
Selective Deposition Of Carbon Films And Uses Thereof
App 20200168503 - Roy; Susmit Singha ;   et al.
2020-05-28
Selective Deposition Of Silicon Using Deposition-Treat-Etch Process
App 20200144060 - Cheng; Rui ;   et al.
2020-05-07
Surface modification to improve amorphous silicon gapfill
Grant 10,643,841 - Manna , et al.
2020-05-05
High Density Carbon Films For Patterning Applications
App 20200135466 - VENKATASUBRAMANIAN; Eswaranand ;   et al.
2020-04-30
Selective deposition for simplified process flow of pillar formation
Grant 10,636,659 - Chen , et al.
2020-04-28
Seam healing using high pressure anneal
Grant 10,636,669 - Chen , et al.
2020-04-28
Bottom-up growth of silicon oxide and silicon nitride using sequential deposition-etch-treat processing
Grant 10,626,495 - Cheng , et al.
2020-04-21
Methods for wordline separation in 3D-NAND devices
Grant 10,622,251 - Chen , et al.
2020-04-14
Methods of etching metal oxides with less etch residue
Grant 10,622,221 - Mullick , et al.
2020-04-14
Methods for gapfill in high aspect ratio structures
Grant 10,615,050 - Cheng , et al.
2020-04-07
Silicide films through selective deposition
Grant 10,607,841 - Srinivasan , et al.
2020-03-31
Fully Self-aligned Via
App 20200098633 - Zhang; Ying ;   et al.
2020-03-26
Ultra-thin diffusion barriers
Grant 10,600,684 - Roy , et al.
2020-03-24
Surface Modification To Improve Amorphous Silicon Gapfill
App 20200075329 - MANNA; Pramit ;   et al.
2020-03-05
PECVD Tungsten Containing Hardmask Films And Methods Of Making
App 20200075333 - Roy; Susmit Singha ;   et al.
2020-03-05
Two-step process for silicon gapfill
Grant 10,580,642 - Mallick , et al.
2020-03-03
Methods of producing self-aligned grown via
Grant 10,573,555 - Zhang , et al. Feb
2020-02-25
Graphene Diffusion Barrier
App 20200051920 - Wu; Yong ;   et al.
2020-02-13
Two-step Process For Gapfilling High Aspect Ratio Trenches With Amorphous Silicon Film
App 20200051815 - MANNA; Pramit ;   et al.
2020-02-13
Seamless tungsten fill by tungsten oxidation-reduction
Grant 10,559,497 - Wu , et al. Feb
2020-02-11
Pre-treatment approach to improve continuity of ultra-thin amorphous silicon film on silicon oxide
Grant 10,559,465 - Cheng , et al. Feb
2020-02-11
Metal Deposition Methods
App 20200040448 - Wu; Yong ;   et al.
2020-02-06
Multicolor Approach To DRAM STI Active Cut Patterning
App 20200043932 - Singh; Tejinder ;   et al.
2020-02-06
Methods of producing fully self-aligned vias and contacts
Grant 10,553,485 - Zhang , et al. Fe
2020-02-04
Doped And Undoped Vanadium Oxides For Low-K Spacer Applications
App 20200035486 - Venkatasubramanian; Eswaranand ;   et al.
2020-01-30
3D NAND Etch
App 20200035505 - Jiang; Shishi ;   et al.
2020-01-30
Selective and Self-Limiting Tungsten Etch Process
App 20200027785 - Roy; Susmit Singha ;   et al.
2020-01-23
Low Temperature High-Quality Dielectric Films
App 20200027726 - Venkatasubramanian; Eswaranand ;   et al.
2020-01-23
Dry stripping of boron carbide hardmask
Grant 10,529,585 - Manna , et al. J
2020-01-07
PECVD tungsten containing hardmask films and methods of making
Grant 10,529,568 - Roy , et al. J
2020-01-07
Fully self-aligned via
Grant 10,522,404 - Zhang , et al. Dec
2019-12-31
Carbon Gapfill Films
App 20190393030 - Jiang; Shishi ;   et al.
2019-12-26
Cvd Based Oxide-metal Multi Structure For 3d Nand Memory Devices
App 20190393042 - SINGHA ROY; Susmit ;   et al.
2019-12-26
Conformal High Concentration Boron Doping Of Semiconductors
App 20190385851 - GANDIKOTA; SRINIVAS ;   et al.
2019-12-19
Methods Of Forming Self-Aligned Vias
App 20190385849 - Thompson; David ;   et al.
2019-12-19
Conformal Carbon Film Deposition
App 20190385845 - Manna; Pramit ;   et al.
2019-12-19
High Bias Deposition of High Quality Gapfill
App 20190385907 - Gottheim; Samuel E. ;   et al.
2019-12-19
Schemes for selective deposition for patterning applications
Grant 10,510,546 - Basu , et al. Dec
2019-12-17
Cyclic conformal deposition/anneal/etch for Si gapfill
Grant 10,510,589 - Cheng , et al. Dec
2019-12-17
Methods of producing self-aligned vias
Grant 10,510,602 - Zhang , et al. Dec
2019-12-17
Method for enabling self-aligned lithography on metal contacts and selective deposition using free-standing vertical carbon structures
Grant 10,490,411 - Leschkies , et al. Nov
2019-11-26
Surface modification to improve amorphous silicon gapfill
Grant 10,483,102 - Manna , et al. Nov
2019-11-19
Metal deposition methods
Grant 10,480,066 - Wu , et al. Nov
2019-11-19
Apparatus for radical-based deposition of dielectric films
Grant 10,480,074 - Zhou , et al. Nov
2019-11-19
Methods Of Forming Self-Aligned Vias And Air Gaps
App 20190348368 - Roy; Susmit Singha ;   et al.
2019-11-14
Fully Self-Aligned Via
App 20190348323 - Zhang; Ying ;   et al.
2019-11-14
Doped and undoped vanadium oxides for low-k spacer applications
Grant 10,475,642 - Venkatasubramanian , et al. Nov
2019-11-12
Methods For Wordline Separation In 3d-nand Devices
App 20190333810 - Chen; Yihong ;   et al.
2019-10-31
Low Temperature Atomic Layer Deposition Of Silicon Nitride
App 20190330736 - Wang; Huiyuan ;   et al.
2019-10-31
Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
Grant 10,460,933 - Manna , et al. Oc
2019-10-29
Photo-assisted deposition of flowable films
Grant 10,460,936 - Underwood , et al. Oc
2019-10-29
Thermal Silicon Etch
App 20190326123 - Li; Zihui ;   et al.
2019-10-24
Fully self-aligned via
Grant 10,424,507 - Zhang , et al. Sept
2019-09-24
Schemes for Selective Deposition for Patterning Applications
App 20190287807 - Basu; Atashi ;   et al.
2019-09-19
CVD based oxide-metal multi structure for 3D NAND memory devices
Grant 10,410,869 - Singha Roy , et al. Sept
2019-09-10
Hybrid carbon hardmask for lateral hardmask recess reduction
Grant 10,410,864 - Kwon , et al. Sept
2019-09-10
Methods of forming self-aligned vias
Grant 10,410,865 - Thompson , et al. Sept
2019-09-10
Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
Grant 10,410,872 - Cheng , et al. Sept
2019-09-10
Fully self-aligned via
Grant 10,410,921 - Zhang , et al. Sept
2019-09-10
Metal Deposition Methods
App 20190271071 - Wu; Yong ;   et al.
2019-09-05
Methods of forming self-aligned vias and air gaps
Grant 10,403,542 - Roy , et al. Sep
2019-09-03
Boron doped tungsten carbide for hardmask applications
Grant 10,403,502 - Venkatasubramanian , et al. Sep
2019-09-03
Oxidative Volumetric Expansion Of Metals And Metal Containing Compounds
App 20190259652 - Roy; Susmit Singha ;   et al.
2019-08-22
Deposition And Treatment Of Films For Patterning
App 20190252252 - Basu; Atashi ;   et al.
2019-08-15
Geometric Control Of Bottom-Up Pillars For Patterning Applications
App 20190252188 - Duan; Ziqing ;   et al.
2019-08-15
Methods For Self-Aligned Patterning
App 20190252206 - Duan; Ziqing ;   et al.
2019-08-15
Carbon Film Gapfill For Patterning Application
App 20190237325 - WANG; Fei ;   et al.
2019-08-01
Seam Healing Using High Pressure Anneal
App 20190228982 - Chen; Yihong ;   et al.
2019-07-25
Selectively Deposited Parylene Masks And Methods Related Thereto
App 20190221422 - WANG; Fei ;   et al.
2019-07-18
Methods for wordline separation in 3D-NAND devices
Grant 10,354,916 - Chen , et al. July 16, 2
2019-07-16
Radical Assisted Cure Of Dielectric Films
App 20190214228 - CHEN; Yihong ;   et al.
2019-07-11
Titanium compound based hard mask films
Grant 10,347,488 - Cheng , et al. July 9, 2
2019-07-09
Schemes for selective deposition for patterning applications
Grant 10,347,495 - Basu , et al. July 9, 2
2019-07-09
Ultra-thin Diffusion Barriers
App 20190189506 - Roy; Susmit Singha ;   et al.
2019-06-20
Fully Self-Aligned Via
App 20190189512 - Zhang; Ying ;   et al.
2019-06-20
Silicide Films Through Selective Deposition
App 20190189453 - Srinivasan; Swaminathan ;   et al.
2019-06-20
Controlled Growth Of Thin Silicon Oxide Film At Low Temperature
App 20190189435 - JIANG; Shishi ;   et al.
2019-06-20
High Pressure Oxidation Of Metal Films
App 20190185983 - Mullick; Amrita B. ;   et al.
2019-06-20
Selective Atomic Layer Deposition Of Ruthenium
App 20190185993 - Chen; Yihong ;   et al.
2019-06-20
Methods Of Etching Metal Oxides With Less Etch Residue
App 20190189456 - Mullick; Amrita B. ;   et al.
2019-06-20
Oxidative volumetric expansion of metals and metal containing compounds
Grant 10,319,624 - Roy , et al.
2019-06-11
Methods for self-aligned patterning
Grant 10,319,604 - Duan , et al.
2019-06-11
Deposition and treatment of films for patterning
Grant 10,319,636 - Basu , et al.
2019-06-11
Thermal silicon etch
Grant 10,319,600 - Li , et al.
2019-06-11
Geometric control of bottom-up pillars for patterning applications
Grant 10,319,591 - Duan , et al.
2019-06-11
Methods For Gapfill In High Aspect Ratio Structures
App 20190172723 - Cheng; Rui ;   et al.
2019-06-06
Hardmask layer for 3D NAND staircase structure in semiconductor applications
Grant 10,312,137 - Venkatasubramanian , et al.
2019-06-04
Methods For Gapfill In High Aspect Ratio Structures
App 20190157134 - Manna; Pramit ;   et al.
2019-05-23
Flowable gapfill using solvents
Grant 10,280,507 - Arnepalli , et al.
2019-05-07
Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
Grant 10,276,379 - Cheng , et al.
2019-04-30
Processing system containing an isolation region separating a deposition chamber from a treatment chamber
Grant 10,236,197 - Janakiraman , et al.
2019-03-19
Process Of Filling The High Aspect Ratio Trenches By Co-flowing Ligands During Thermal Cvd
App 20190074218 - MANNA; Pramit ;   et al.
2019-03-07
Methods Of Producing Self-Aligned Vias
App 20190067102 - Zhang; Ying ;   et al.
2019-02-28
Methods Of Producing Self-aligned Grown Via
App 20190067103 - Zhang; Ying ;   et al.
2019-02-28
Methods for gapfill in high aspect ratio structures
Grant 10,192,775 - Manna , et al. Ja
2019-01-29
Pre-treatment Approach To Improve Continuity Of Ultra-thin Amorphous Silicon Film On Silicon Oxide
App 20190027362 - CHENG; Rui ;   et al.
2019-01-24
Cyclic Conformal Deposition/anneal/etch For Si Gapfill
App 20190019724 - CHENG; Rui ;   et al.
2019-01-17
Methods Of Forming Self-Aligned Vias
App 20190013202 - Thompson; David ;   et al.
2019-01-10
Selective deposition of silicon oxide films
Grant 10,176,980 - Manna , et al. J
2019-01-08
Methods Of Producing Fully Self-Aligned Vias And Contacts
App 20180374750 - Zhang; Ying ;   et al.
2018-12-27
Method and Apparatus for Void Free SiN Gapfill
App 20180363133 - Arnepalli; Ranga Rao ;   et al.
2018-12-20
Diamond-Like Carbon Film
App 20180354804 - Venkatasubramanian; Eswaranand ;   et al.
2018-12-13
Diamond-Like Carbon As Mandrel
App 20180358229 - Koshizawa; Takehito ;   et al.
2018-12-13
High-density Low Temperature Carbon Films For Hardmask And Other Patterning Applications
App 20180358222 - VENKATASUBRAMANIAN; Eswaranand ;   et al.
2018-12-13
Seamless Tungsten Fill By Tungsten Oxidation-Reduction
App 20180358264 - Wu; Yong ;   et al.
2018-12-13
Methods Of Forming Self-Aligned Vias And Air Gaps
App 20180358260 - Roy; Susmit Singha ;   et al.
2018-12-13
Bottom-Up Growth Of Silicon Oxide And Silicon Nitride Using Sequential Deposition-Etch-Treat Processing
App 20180350668 - Cheng; Rui ;   et al.
2018-12-06
Dry Stripping Of Boron Carbide Hardmask
App 20180350621 - MANNA; Pramit ;   et al.
2018-12-06
Methods For Wordline Separation in 3D-NAND Devices
App 20180350671 - Chen; Yihong ;   et al.
2018-12-06
Selective Deposition Of Silicon Using Deposition-Treat-Etch Process
App 20180350597 - Cheng; Rui ;   et al.
2018-12-06
Methods Of Lowering Wordline Resistance
App 20180350606 - Chen; Yihong ;   et al.
2018-12-06
Quality Improvement Of Films Deposited On A Substrate
App 20180350563 - MANNA; Pramit ;   et al.
2018-12-06
Method For Enabling Self-aligned Lithography On Metal Contacts And Selective Deposition Using Free-standing Vertical Carbon Structures
App 20180337061 - LESCHKIES; Kurtis ;   et al.
2018-11-22
Process of filling the high aspect ratio trenches by co-flowing ligands during thermal CVD
Grant 10,128,150 - Manna , et al. November 13, 2
2018-11-13
Methods of Forming Tungsten Pillars
App 20180323068 - Mallick; Abhijit Basu ;   et al.
2018-11-08
Selective Deposition Of Tungsten For Simplified Process Flow Of Tungsten Oxide Pillar Formation
App 20180308694 - Chen; Yihong ;   et al.
2018-10-25
Flowable Gapfill Using Solvents
App 20180298492 - Arnepalli; Ranga Rao ;   et al.
2018-10-18
Surface Modification To Improve Amorphous Silicon Gapfill
App 20180294154 - MANNA; Pramit ;   et al.
2018-10-11
Gapfill Using Reactive Anneal
App 20180294166 - Mallick; Abhijit Basu ;   et al.
2018-10-11
Treatment Approach To Improve Film Roughness By Improving Nucleation/adhesion Of Silicon Oxide
App 20180294157 - CHENG; Rui ;   et al.
2018-10-11
Two-step Process For Gapfilling High Aspect Ratio Trenches With Amorphous Silicon Film
App 20180286674 - MANNA; Pramit ;   et al.
2018-10-04
Two-Step Process for Silicon Gapfill
App 20180286669 - Mallick; Abhijit Basu ;   et al.
2018-10-04
Fully Self-Aligned Via
App 20180286749 - Zhang; Ying ;   et al.
2018-10-04
Hybrid Carbon Hardmask For Lateral Hardmask Recess Reduction
App 20180277370 - KWON; Thomas Jongwan ;   et al.
2018-09-27
Methods of forming self-aligned vias
Grant 10,083,834 - Thompson , et al. September 25, 2
2018-09-25
Surface-Selective Atomic Layer Deposition Using Hydrosilylation Passivation
App 20180254179 - Chan; Kelvin ;   et al.
2018-09-06
Critical Dimension Control For Self-Aligned Contact Patterning
App 20180240706 - Mallick; Abhijit Basu ;   et al.
2018-08-23
Schemes for Selective Deposition for Patterning Applications
App 20180218914 - Basu; Atashi ;   et al.
2018-08-02
Boron Doped Tungsten Carbide For Hardmask Applications
App 20180218902 - VENKATASUBRAMANIAN; Eswaranand ;   et al.
2018-08-02
Flowable gapfill using solvents
Grant 10,017,856 - Arnepalli , et al. July 10, 2
2018-07-10
Selectively lateral growth of silicon oxide thin film
Grant 10,002,757 - Chen , et al. June 19, 2
2018-06-19
Hybrid carbon hardmask for lateral hardmask recess reduction
Grant 9,991,118 - Kwon , et al. June 5, 2
2018-06-05
Deposition And Treatment Of Films For Patterning
App 20180144980 - Basu; Atashi ;   et al.
2018-05-24
Methods For Self-Aligned Patterning
App 20180130671 - Duan; Ziqing ;   et al.
2018-05-10
Geometric Control Of Bottom-Up Pillars For Patterning Applications
App 20180130657 - Duan; Ziqing ;   et al.
2018-05-10
UV-assisted material injection into porous films
Grant 9,947,576 - Underwood , et al. April 17, 2
2018-04-17
Methods Of Forming Self-Aligned Vias
App 20180096847 - Thompson; David ;   et al.
2018-04-05
Apparatus For Radical-based Deposition Of Dielectric Films
App 20180080125 - ZHOU; Jianhua ;   et al.
2018-03-22
Borane Mediated Dehydrogenation Process From Silane And Alkylsilane Species For Spacer And Hardmask Application
App 20180076042 - CHENG; Rui ;   et al.
2018-03-15
Photo-assisted Deposition Of Flowable Films
App 20180040473 - UNDERWOOD; Brian Saxton ;   et al.
2018-02-08
Deposition Of Flowable Silicon-Containing Films
App 20180025907 - Kalutarage; Lakmal C. ;   et al.
2018-01-25
Plasma treatment to improve adhesion between hardmask film and silicon oxide film
Grant 9,865,459 - Cheng , et al. January 9, 2
2018-01-09
Cvd Based Oxide-metal Multi Structure For 3d Nand Memory Devices
App 20170372953 - ROY; Susmit Singha ;   et al.
2017-12-28
Flowable Amorphous Silicon Films For Gapfill Applications
App 20170372919 - Manna; Pramit ;   et al.
2017-12-28
Oxidative Volumetric Expansion Of Metals And Metal Containing Compounds
App 20170358483 - Roy; Susmit Singha ;   et al.
2017-12-14
Apparatus for radical-based deposition of dielectric films
Grant 9,840,777 - Zhou , et al. December 12, 2
2017-12-12
Hardmask Layer For 3d Nand Staircase Structure In Semiconductor Applications
App 20170352586 - VENKATASUBRAMANIAN; Eswaranand ;   et al.
2017-12-07
Selectively Lateral Growth Of Silicon Oxide Thin Film
App 20170323777 - CHEN; Yihong ;   et al.
2017-11-09
Doped And Undoped Vanadium Oxides For Low-K Spacer Applications
App 20170309476 - Venkatasubramanian; Eswaranand ;   et al.
2017-10-26
Methods For Gapfill In High Aspect Ratio Structures
App 20170271196 - Manna; Pramit ;   et al.
2017-09-21
Selectively lateral growth of silicon oxide thin film
Grant 9,741,558 - Chen , et al. August 22, 2
2017-08-22
PECVD Tungsten Containing Hardmask Films And Methods Of Making
App 20170207087 - Roy; Susmit Singha ;   et al.
2017-07-20
Hybrid Carbon Hardmask For Lateral Hardmask Recess Reduction
App 20170207088 - KWON; Thomas Jongwan ;   et al.
2017-07-20
Selectively Lateral Growth Of Silicon Oxide Thin Film
App 20170125239 - CHEN; Yihong ;   et al.
2017-05-04
Conformal doping in 3D si structure using conformal dopant deposition
Grant 9,640,400 - Cheng , et al. May 2, 2
2017-05-02
Deposition Of Conformal And Gap-Fill Amorphous Silicon Thin-Films
App 20170114453 - Chen; Yihong ;   et al.
2017-04-27
Conformal Doping In 3d Si Structure Using Conformal Dopant Deposition
App 20170110321 - CHENG; Rui ;   et al.
2017-04-20
Deposition of metal doped amorphous carbon film
Grant 9,624,577 - Manna , et al. April 18, 2
2017-04-18
Ultra-thin dielectric diffusion barrier and etch stop layer for advanced interconnect applications
Grant 9,613,908 - Padhi , et al. April 4, 2
2017-04-04
Titanium-Compound Based Hard Mask Films
App 20170084459 - Cheng; Rui ;   et al.
2017-03-23
Low temperature silicon nitride films using remote plasma CVD technology
Grant 9,583,333 - Chatterjee , et al. February 28, 2
2017-02-28
Low temperature cure modulus enhancement
Grant 9,583,332 - Manna , et al. February 28, 2
2017-02-28
Uv-assisted Material Injection Into Porous Films
App 20170018455 - Underwood; Brian Saxton ;   et al.
2017-01-19
Selective Deposition Of Silicon Oxide Films
App 20170004974 - MANNA; Pramit ;   et al.
2017-01-05
Flowable carbon for semiconductor processing
Grant 9,514,932 - Mallick , et al. December 6, 2
2016-12-06
Selectively lateral growth of silicon oxide thin film
Grant 9,508,545 - Chen , et al. November 29, 2
2016-11-29
Deposition Of Silicon And Oxygen-containing Films Without An Oxidizer
App 20160336174 - UNDERWOOD; Brian Saxton ;   et al.
2016-11-17
Plasma Treatment To Improve Adhesion Between Hardmask Film And Silicon Oxide Film
App 20160314960 - CHENG; Rui ;   et al.
2016-10-27
Process Of Filling The High Aspect Ratio Trenches By Co-flowing Ligands During Thermal Cvd
App 20160293483 - MANNA; Pramit ;   et al.
2016-10-06
Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
Grant 9,417,515 - Barman , et al. August 16, 2
2016-08-16
Selectively Lateral Growth Of Silicon Oxide Thin Film
App 20160233084 - CHEN; Yihong ;   et al.
2016-08-11
Development of high etch selective hardmask material by ion implantation into amorphous carbon films
Grant 9,412,613 - Manna , et al. August 9, 2
2016-08-09
Deposition of heteroatom-doped carbon films
Grant 9,406,509 - Manna , et al. August 2, 2
2016-08-02
Wet oxidation process performed on a dielectric material formed from a flowable CVD process
Grant 9,390,914 - Wang , et al. July 12, 2
2016-07-12
Chamber coatings
Grant 9,384,950 - Duan , et al. July 5, 2
2016-07-05
Ultra-thin Dielectric Diffusion Barrier And Etch Stop Layer For Advanced Interconnect Applications
App 20160172239 - PADHI; Deenesh ;   et al.
2016-06-16
Metal-containing films as dielectric capping barrier for advanced interconnects
Grant 9,368,448 - Chen , et al. June 14, 2
2016-06-14
Flowable low-k dielectric gapfill treatment
Grant 9,362,107 - Thadani , et al. June 7, 2
2016-06-07
Radical Assisted Cure Of Dielectric Films
App 20160138161 - CHEN; Yihong ;   et al.
2016-05-19
Flowable silicon--carbon--oxygen layers for semiconductor processing
Grant 9,343,293 - Underwood , et al. May 17, 2
2016-05-17
Processing System Containing An Isolation Region separating a Deposition chamber from a treatment chamber
App 20160133489 - JANAKIRAMAN; Karthik ;   et al.
2016-05-12
Integrated cluster to enable next generation interconnect
Grant 9,318,383 - Naik , et al. April 19, 2
2016-04-19
Flowable Low-k Dielectric Gapfill Treatment
App 20160093488 - Thadani; Kiran V. ;   et al.
2016-03-31
Integrated Cluster To Enable Next Generation Interconnect
App 20160049331 - NAIK; Mehul B. ;   et al.
2016-02-18
Ultra-thin structure to protect copper and method of preparation
Grant 9,257,330 - Chatterjee , et al. February 9, 2
2016-02-09
Deposition Of Metal Doped Amorphous Carbon Film
App 20160027614 - MANNA; Pramit ;   et al.
2016-01-28
Integrated Pre-clean And Deposition Of Low-damage Layers
App 20160017487 - CHEN; Yihong ;   et al.
2016-01-21
Plasma-enhanced And Radical-based Cvd Of Porous Carbon-doped Oxide Films Assisted By Radical Curing
App 20160017495 - CHEN; Yihong ;   et al.
2016-01-21
Apparatus For Radical-based Deposition Of Dielectric Films
App 20150376788 - ZHOU; Jianhua ;   et al.
2015-12-31
Integrated cluster to enable next generation interconnect
Grant 9,184,093 - Naik , et al. November 10, 2
2015-11-10
Cleaning Process For Cleaning Amorphous Carbon Deposition Residuals Using Low Rf Bias Frequency Applications
App 20150228463 - MANNA; Pramit ;   et al.
2015-08-13
Chamber Coatings
App 20150221480 - DUAN; Ren-Guan ;   et al.
2015-08-06
Low Temperature Cure Modulus Enhancement
App 20150214039 - MANNA; Pramit ;   et al.
2015-07-30
Deposition Of Heteroatom-doped Carbon Films
App 20150206739 - MANNA; Pramit ;   et al.
2015-07-23
Carbon Film Stress Relaxation
App 20150200094 - Underwood; Brian Saxton ;   et al.
2015-07-16
Carbon Dioxide And Carbon Monoxide Mediated Curing Of Low K Films To Increase Hardness And Modulus
App 20150196933 - MANNA; Pramit ;   et al.
2015-07-16
Development Of High Etch Selective Hardmask Material By Ion Implantation Into Amorphous Carbon Films
App 20150194317 - MANNA; PRAMIT ;   et al.
2015-07-09
Photo-assisted Deposition Of Flowable Films
App 20150187563 - UNDERWOOD; BRIAN SAXTON ;   et al.
2015-07-02
Metal-containing Films As Dielectric Capping Barrier For Advanced Interconnects
App 20150179581 - CHEN; Yihong ;   et al.
2015-06-25
Enabling Radical-based Deposition Of Dielectric Films
App 20150167160 - CHEN; Yihong ;   et al.
2015-06-18
Ultra-thin Structure To Protect Copper And Method Of Preparation
App 20150147879 - Chatterjee; Amit ;   et al.
2015-05-28
Method Of Depositing A Low-temperature, No-damage Hdp Sic-like Film With High Wet Etch Resistance
App 20150140833 - THADANI; Kiran V. ;   et al.
2015-05-21
Low Temperature Silicon Nitride Films Using Remote Plasma Cvd Technology
App 20150126045 - CHATTERJEE; Amit ;   et al.
2015-05-07
HDD patterning using flowable CVD film
Grant 8,986,557 - Underwood , et al. March 24, 2
2015-03-24
Oxygen-doping for non-carbon radical-component CVD films
Grant 8,980,382 - Ingle , et al. March 17, 2
2015-03-17
Controlled air gap formation
Grant 8,921,235 - Thadani , et al. December 30, 2
2014-12-30
Low cost flowable dielectric films
Grant 8,889,566 - Chatterjee , et al. November 18, 2
2014-11-18
Flowable films using alternative silicon precursors
Grant 8,871,656 - Mallick , et al. October 28, 2
2014-10-28
Flowable Silicon-carbon-oxygen Layers For Semiconductor Processing
App 20140302688 - Underwood; Brian Saxton ;   et al.
2014-10-09
Integrated Cluster To Enable Next Generation Interconnect
App 20140273430 - NAIK; Mehul B. ;   et al.
2014-09-18
Ultra-smooth Layer Ultraviolet Lithography Mirrors And Blanks, And Manufacturing And Lithography Systems Therefor
App 20140268083 - Barman; Soumendra N. ;   et al.
2014-09-18
Controlled Air Gap Formation
App 20140248754 - Thadani; Kiran V. ;   et al.
2014-09-04
Hdd Patterning Using Flowable Cvd Film
App 20140231384 - UNDERWOOD; Brian Saxton ;   et al.
2014-08-21
Air gap formation
Grant 8,765,573 - Mallick , et al. July 1, 2
2014-07-01
Molecular layer deposition of silicon carbide
Grant 8,753,985 - Underwood , et al. June 17, 2
2014-06-17
Low Cost Flowable Dielectric Films
App 20140073144 - Chatterjee; Amit ;   et al.
2014-03-13
Flowable Films Using Alternative Silicon Precursors
App 20140051264 - Mallick; Abhijit Basu ;   et al.
2014-02-20
Flowable Carbon For Semiconductor Processing
App 20140045342 - Mallick; Abhijit Basu ;   et al.
2014-02-13
Embedded catalyst for atomic layer deposition of silicon oxide
Grant 8,580,699 - Mallick November 12, 2
2013-11-12
Molecular Layer Deposition Of Silicon Carbide
App 20130267079 - Underwood; Brian ;   et al.
2013-10-10
Flowable Silicon-carbon-nitrogen Layers For Semiconductor Processing
App 20130217240 - Mallick; Abhijit Basu ;   et al.
2013-08-22
Flowable Silicon-and-carbon-containing Layers For Semiconductor Processing
App 20130217239 - Mallick; Abhijit Basu ;   et al.
2013-08-22
Doping Of Dielectric Layers
App 20130217243 - Underwood; Brian S. ;   et al.
2013-08-22
Treatments For Decreasing Etch Rates After Flowable Deposition Of Silicon-carbon-and-nitrogen-containing Layers
App 20130217241 - Underwood; Brian S. ;   et al.
2013-08-22
Photoresist For Improved Lithographic Control
App 20130177847 - Chatterjee; Amit ;   et al.
2013-07-11
Capping layer for reduced outgassing
Grant 8,466,073 - Wang , et al. June 18, 2
2013-06-18
Radiation patternable CVD film
Grant 8,465,903 - Weidman , et al. June 18, 2
2013-06-18
In-situ ozone cure for radical-component CVD
Grant 8,304,351 - Wang , et al. November 6, 2
2012-11-06
High quality silicon oxide films by remote plasma CVD from disilane precursors
Grant 8,242,031 - Mallick , et al. August 14, 2
2012-08-14
Silicon-selective dry etch for carbon-containing films
Grant 8,211,808 - Sapre , et al. July 3, 2
2012-07-03
Methods for forming a silicon oxide layer over a substrate
Grant 7,943,531 - Nemani , et al. May 17, 2
2011-05-17
High quality silicon oxide films by remote plasma CVD from disilane precursors
Grant 7,867,923 - Mallick , et al. January 11, 2
2011-01-11
Curing methods for silicon dioxide multi-layers
Grant 7,825,044 - Mallick , et al. November 2, 2
2010-11-02
Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
Grant 7,745,352 - Mallick , et al. June 29, 2
2010-06-29
Method and system for improving dielectric film quality for void free gap fill
Grant 7,541,297 - Mallick , et al. June 2, 2
2009-06-02
Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II--remote plasma enhanced deposition processes
Grant 7,498,273 - Mallick , et al. March 3, 2
2009-03-03

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed