loadpatents
name:-0.11556601524353
name:-0.13163900375366
name:-0.056005954742432
Liu; Zuoguang Patent Filings

Liu; Zuoguang

Patent Applications and Registrations

Patent applications and USPTO patent grants for Liu; Zuoguang.The latest application filed is for "phase change memory cell resistive liner".

Company Profile
59.144.129
  • Liu; Zuoguang - Schenectady NY
  • Liu; Zuoguang - San Jose CA
  • Liu; Zuoguang - Schnectady NY
  • Liu; Zuoguang - Shanghai N/A CN
  • Liu; Zuoguang - Schednectady NY
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Phase Change Memory Cell Resistive Liner
App 20220310913 - Cheng; Kangguo ;   et al.
2022-09-29
Punch through stopper in bulk finFET device
Grant 11,404,560 - Basker , et al. August 2, 2
2022-08-02
Layout Design for Threshold Voltage Tuning
App 20210384139 - ZHOU; Huimei ;   et al.
2021-12-09
Two-stage top source drain epitaxy formation for vertical field effect transistors enabling gate last formation
Grant 11,164,787 - Reznicek , et al. November 2, 2
2021-11-02
Germanium-based Laser Diode
App 20210249845 - Liu; Zuoguang ;   et al.
2021-08-12
Self-aligned gate and junction for VTFET
Grant 11,075,280 - Liu , et al. July 27, 2
2021-07-27
Shared contact trench comprising dual silicide layers and dual epitaxial layers for source/drain layers of NFET and PFET devices
Grant 11,062,960 - Wu , et al. July 13, 2
2021-07-13
Two-stage Top Source Drain Epitaxy Formation For Vertical Field Effect Transistors Enabling Gate Last Formation
App 20210193527 - Reznicek; Alexander ;   et al.
2021-06-24
Composite spacer enabling uniform doping in recessed fin devices
Grant 11,038,041 - Basker , et al. June 15, 2
2021-06-15
Measurement of top contact resistance in vertical field-effect transistor devices
Grant 11,024,738 - Liu , et al. June 1, 2
2021-06-01
Vertical field effect transistor replacement metal gate fabrication
Grant 10,985,073 - Xie , et al. April 20, 2
2021-04-20
VFET device design for top contact resistance measurement
Grant 10,957,605 - Zhang , et al. March 23, 2
2021-03-23
Fabrication of vertical transport fin field effect transistors with a self-aligned separator and an isolation region with an air gap
Grant 10,950,492 - Cheng , et al. March 16, 2
2021-03-16
Dual silicide liner flow for enabling low contact resistance
Grant 10,916,471 - Adusumilli , et al. February 9, 2
2021-02-09
Vertical Field Effect Transistor Replacement Metal Gate Fabrication
App 20210013106 - Xie; Ruilong ;   et al.
2021-01-14
Composite spacer enabling uniform doping in recessed fin devices
Grant 10,854,733 - Basker , et al. December 1, 2
2020-12-01
Self-Aligned Gate and Junction for VTFET
App 20200335601 - Liu; Zuoguang ;   et al.
2020-10-22
Fin field effect transistor fabrication and devices having inverted T-shaped gate
Grant 10,784,365 - Basker , et al. Sept
2020-09-22
Measurement Of Top Contact Resistance In Vertical Field-effect Transistor Devices
App 20200295175 - Liu; Zuoguang ;   et al.
2020-09-17
Punch Through Stopper In Bulk Finfet Device
App 20200259002 - A1
2020-08-13
Wrapped contacts with enhanced area
Grant 10,693,007 - Cheng , et al.
2020-06-23
Contact formation in semiconductor devices
Grant 10,685,961 - Gluschenkov , et al.
2020-06-16
Extremely thin silicon-on-insulator silicon germanium device without edge strain relaxation
Grant 10,658,387 - Cheng , et al.
2020-05-19
Punch through stopper in bulk finFET device
Grant 10,629,709 - Basker , et al.
2020-04-21
VFET device design for top contact resistance measurement
Grant 10,622,257 - Zhang , et al.
2020-04-14
Contact formation in semiconductor devices
Grant 10,586,769 - Gluschenkov , et al.
2020-03-10
Dual Silicide Liner Flow For Enabling Low Contact Resistance
App 20200066583 - Adusumilli; Praneet ;   et al.
2020-02-27
Sacrificial cap for forming semiconductor contact
Grant 10,573,567 - Adusumilli , et al. Feb
2020-02-25
Shared Contact Trench Comprising Dual Silicide Layers And Dual Epitaxial Layers For Source/drain Layers Of Nfet And Pfet Devices
App 20200058562 - Wu; Heng ;   et al.
2020-02-20
Shared Contact Trench Comprising Dual Silicide Layers And Dual Epitaxial Layers For Source/drain Layers Of Nfet And Pfet Devices
App 20200058563 - Wu; Heng ;   et al.
2020-02-20
Shared contact trench comprising dual silicide layers and dual epitaxial layers for source/drain layers of NFET and PFET devices
Grant 10,566,246 - Wu , et al. Feb
2020-02-18
Fabrication of vertical transport fin field effect transistors with a self-aligned separator and an isolation region with an air gap
Grant 10,559,491 - Cheng , et al. Feb
2020-02-11
VFET Device Design for Top Contact Resistance Measurement
App 20200035565 - Zhang; Chen ;   et al.
2020-01-30
Dual silicide liner flow for enabling low contact resistance
Grant 10,546,776 - Adusumilli , et al. Ja
2020-01-28
CMOS VFET contacts with trench solid and liquid phase epitaxy
Grant 10,510,617 - Gluschenkov , et al. Dec
2019-12-17
Embedded bottom metal contact formed by a self-aligned contact process for vertical transistors
Grant 10,490,653 - Fan , et al. Nov
2019-11-26
Sacrificial Cap For Forming Semiconductor Contact
App 20190341318 - Adusumilli; Praneet ;   et al.
2019-11-07
Formation of a semiconductor device with selective nitride grown on conductor
Grant 10,468,412 - Bao , et al. No
2019-11-05
Fabrication Of Vertical Transport Fin Field Effect Transistors With A Self-aligned Separator And An Isolation Region With An Air
App 20190311942 - Cheng; Kangguo ;   et al.
2019-10-10
Sacrificial cap for forming semiconductor contact
Grant 10,431,503 - Adusumilli , et al. O
2019-10-01
Cmos Vfet Contacts With Trench Solid And Liquid Phase Epitaxy
App 20190279913 - GLUSCHENKOV; Oleg ;   et al.
2019-09-12
Low resistance source drain contact formation
Grant 10,381,442 - Gluschenkov , et al. A
2019-08-13
Fabrication of vertical transport fin field effect transistors with a self-aligned separator and an isolation region with an air gap
Grant 10,381,262 - Cheng , et al. A
2019-08-13
Low-drive current FinFET structure for improving circuit density of ratioed logic in SRAM devices
Grant 10,361,210 - Basker , et al.
2019-07-23
Contact Formation In Semiconductor Devices
App 20190221565 - Gluschenkov; Oleg ;   et al.
2019-07-18
S/D contact resistance measurement on FinFETs
Grant 10,354,930 - Liu , et al. July 16, 2
2019-07-16
Split fin field effect transistor enabling back bias on fin type field effect transistors
Grant 10,347,765 - Basker , et al. July 9, 2
2019-07-09
Contact formation in semiconductor devices
Grant 10,347,581 - Gluschenkov , et al. July 9, 2
2019-07-09
Extremely thin silicon-on-insulator silicon germanium device without edge strain relaxation
Grant 10,340,292 - Cheng , et al.
2019-07-02
VFET Device Design for Top Contact Resistance Measurement
App 20190189520 - Zhang; Chen ;   et al.
2019-06-20
Low Resistance Source Drain Contact Formation with Trench Metastable Alloys and Laser Annealing
App 20190181012 - Gluschenkov; Oleg ;   et al.
2019-06-13
Contact formation in semiconductor devices
Grant 10,319,722 - Gluschenkov , et al.
2019-06-11
Embedded bottom metal contact formed by a self-aligned contact process for vertical transistors
Grant 10,319,835 - Fan , et al.
2019-06-11
Fin field effect transistor fabrication and devices having inverted T-shaped gate
Grant 10,319,840 - Basker , et al.
2019-06-11
Laser spike annealing for solid phase epitaxy and low contact resistance in an SRAM with a shared pFET and nFET trench
Grant 10,312,245 - Liu , et al.
2019-06-04
Fin Field Effect Transistor Fabrication And Devices Having Inverted T-shaped Gate
App 20190165142 - Basker; Veeraraghavan S. ;   et al.
2019-05-30
Contact Formation In Semiconductor Devices
App 20190148299 - Gluschenkov; Oleg ;   et al.
2019-05-16
Semiconductor device including enhanced low-k spacer
Grant 10,256,321 - Cheng , et al.
2019-04-09
Self-aligned doping in source/drain regions for low contact resistance
Grant 10,249,542 - Guo , et al.
2019-04-02
Low resistance source drain contact formation with trench metastable alloys and laser annealing
Grant 10,249,502 - Gluschenkov , et al.
2019-04-02
Pure boron for silicide contact
Grant 10,229,982 - Chen , et al.
2019-03-12
Epitaxial and silicide layer formation at top and bottom surfaces of semiconductor fins
Grant 10,229,987 - Cheng , et al.
2019-03-12
Wrapped source/drain contacts with enhanced area
Grant 10,224,431 - Cheng , et al.
2019-03-05
Fin field effect transistor fabrication and devices having inverted T-shaped gate
Grant 10,224,417 - Basker , et al.
2019-03-05
Punch through stopper in bulk finFET device
Grant 10,224,420 - Basker , et al.
2019-03-05
Airgap spacers
Grant 10,217,868 - Cheng , et al. Feb
2019-02-26
Low resistance source/drain contacts for complementary metal oxide semiconductor (CMOS) devices
Grant 10,211,207 - Adusumilli , et al. Feb
2019-02-19
Extremely Thin Silicon-on-insulator Silicon Germanium Device Without Edge Strain Relaxation
App 20190019811 - CHENG; Kangguo ;   et al.
2019-01-17
Laser Spike Annealing For Solid Phase Epitaxy And Low Contact Resistance In An Sram With A Shared Pfet And Nfet Trench
App 20190019796 - LIU; ZUOGUANG ;   et al.
2019-01-17
Punch through stopper in bulk finFET device
Grant 10,170,594 - Basker , et al. J
2019-01-01
Fabrication of vertical doped fins for complementary metal oxide semiconductor field effect transistors
Grant 10,170,479 - Cheng , et al. J
2019-01-01
Finfet including improved epitaxial topology
Grant 10,164,110 - Basker , et al. Dec
2018-12-25
Epitaxial and silicide layer formation at top and bottom surfaces of semiconductor fins
Grant 10,158,003 - Cheng , et al. Dec
2018-12-18
Punch Through Stopper In Bulk Finfet Device
App 20180350959 - Basker; Veeraraghavan S. ;   et al.
2018-12-06
Wrapped Source/drain Contacts With Enhanced Area
App 20180350991 - Cheng; Kangguo ;   et al.
2018-12-06
Low resistance source/drain contacts for complementary metal oxide semiconductor (CMOS) devices
Grant 10,141,308 - Adusumilli , et al. Nov
2018-11-27
Embedded Bottom Metal Contact Formed By A Self-aligned Contact Process For Vertical Transistors
App 20180337260 - Fan; Su Chen ;   et al.
2018-11-22
Embedded Bottom Metal Contact Formed By A Self-aligned Contact Process For Vertical Transistors
App 20180337257 - Fan; Su Chen ;   et al.
2018-11-22
Nanowire semiconductor device including lateral-etch barrier region
Grant 10,134,864 - Basker , et al. November 20, 2
2018-11-20
Nanowire semiconductor device including lateral-etch barrier region
Grant 10,128,335 - Basker , et al. November 13, 2
2018-11-13
Self-aligned Spacer For Cut-last Transistor Fabrication
App 20180323280 - Bao; Ruqiang ;   et al.
2018-11-08
Laser Spike Annealing For Solid Phase Epitaxy And Low Contact Resistance In An Sram With A Shared Pfet And Nfet Trench
App 20180315761 - Liu; Zuoguang ;   et al.
2018-11-01
Extremely thin silicon-on-insulator silicon germanium device without edge strain relaxation
Grant 10,115,805 - Cheng , et al. October 30, 2
2018-10-30
Laser spike annealing for solid phase epitaxy and low contact resistance in an SRAM with a shared PFET and NFET trench
Grant 10,115,728 - Liu , et al. October 30, 2
2018-10-30
Forming a contact for a semiconductor device
Grant 10,115,824 - Gluschenkov , et al. October 30, 2
2018-10-30
Fabrication Of Vertical Transport Fin Field Effect Transistors With A Self-aligned Separator And An Isolation Region With An Air Gap
App 20180308743 - Cheng; Kangguo ;   et al.
2018-10-25
Fabrication Of Vertical Transport Fin Field Effect Transistors With A Self-aligned Separator And An Isolation Region With An Air Gap
App 20180308742 - Cheng; Kangguo ;   et al.
2018-10-25
Punch through stopper in bulk FinFET device
Grant 10,109,723 - Basker , et al. October 23, 2
2018-10-23
Punch through stopper in bulk finFET device
Grant 10,103,251 - Basker , et al. October 16, 2
2018-10-16
Contact Formation In Semiconductor Devices
App 20180277483 - Gluschenkov; Oleg ;   et al.
2018-09-27
Contact Formation In Semiconductor Devices
App 20180277541 - Gluschenkov; Oleg ;   et al.
2018-09-27
Wrapped source/drain contacts with enhanced area
Grant 10,084,094 - Cheng , et al. September 25, 2
2018-09-25
Punch through stopper in bulk finFET device
Grant 10,084,070 - Basker , et al. September 25, 2
2018-09-25
Wrapped Source/drain Contacts With Enhanced Area
App 20180269324 - Cheng; Kangguo ;   et al.
2018-09-20
Wrapped Source/drain Contacts With Enhanced Area
App 20180269325 - Cheng; Kangguo ;   et al.
2018-09-20
Low Resistance Source/drain Contacts For Complementary Metal Oxide Semiconductor (cmos) Devices
App 20180261598 - Adusumilli; Praneet ;   et al.
2018-09-13
Low Resistance Source/drain Contacts For Complementary Metal Oxide Semiconductor (cmos) Devices
App 20180261597 - Adusumilli; Praneet ;   et al.
2018-09-13
Self-aligned spacer for cut-last transistor fabrication
Grant 10,068,805 - Bao , et al. September 4, 2
2018-09-04
Composite Spacer Enabling Uniform Doping In Recessed Fin Devices
App 20180248017 - Basker; Veeraraghavan S. ;   et al.
2018-08-30
Low Resistance Source Drain Contact Formation
App 20180240875 - Gluschenkov; Oleg ;   et al.
2018-08-23
Fabrication of vertical transport fin field effect transistors with a self-aligned separator and an isolation region with an air gap
Grant 10,056,289 - Cheng , et al. August 21, 2
2018-08-21
Silicon nitride fill for PC gap regions to increase cell density
Grant 10,056,378 - Guo , et al. August 21, 2
2018-08-21
Method and structure to fabricate closely packed hybrid nanowires at scaled pitch
Grant 10,032,677 - Basker , et al. July 24, 2
2018-07-24
Self-aligned doping in source/drain regions for low contact resistance
Grant 10,032,679 - Guo , et al. July 24, 2
2018-07-24
Self-aligned Doping In Source/drain Regions For Low Contact Resistance
App 20180197792 - Guo; Dechao ;   et al.
2018-07-12
Self-aligned Doping In Source/drain Regions For Low Contact Resistance
App 20180197793 - Guo; Dechao ;   et al.
2018-07-12
Embedded bottom metal contact formed by a self-aligned contact process for vertical transistors
Grant 10,020,381 - Fan , et al. July 10, 2
2018-07-10
Airgap spacers
Grant 10,020,400 - Cheng , et al. July 10, 2
2018-07-10
Self-aligned spacer for cut-last transistor fabrication
Grant 10,020,378 - Bao , et al. July 10, 2
2018-07-10
Top contact resistance measurement in vertical FETs
Grant 10,002,809 - Cheng , et al. June 19, 2
2018-06-19
Composite spacer enabling uniform doping in recessed fin devices
Grant 10,002,945 - Basker , et al. June 19, 2
2018-06-19
Nanowire semiconductor device including lateral-etch barrier region
Grant 10,002,921 - Basker , et al. June 19, 2
2018-06-19
Low resistance dual liner contacts for fin field-effect transistors (FinFETs)
Grant 9,997,416 - Adusumilli , et al. June 12, 2
2018-06-12
Top contact resistance measurement in vertical FETS
Grant 9,997,421 - Cheng , et al. June 12, 2
2018-06-12
Implantation formed metal-insulator-semiconductor (MIS) contacts
Grant 9,997,609 - Chen , et al. June 12, 2
2018-06-12
Implantation formed metal-insulator-semiconductor (MIS) contacts
Grant 9,991,355 - Chen , et al. June 5, 2
2018-06-05
Low resistance source/drain contacts for complementary metal oxide semiconductor (CMOS) devices
Grant 9,978,750 - Adusumilli , et al. May 22, 2
2018-05-22
Low resistance source drain contact formation
Grant 9,972,682 - Gluschenkov , et al. May 15, 2
2018-05-15
Fin Field Effect Transistor Fabrication And Devices Having Inverted T-shaped Gate
App 20180122923 - Basker; Veeraraghavan S. ;   et al.
2018-05-03
Forming A Contact For A Semiconductor Device
App 20180114861 - Gluschenkov; Oleg ;   et al.
2018-04-26
Split Fin Field Effect Transistor Enabling Back Bias On Fin Type Field Effect Transistors
App 20180108772 - Basker; Veeraraghavan S. ;   et al.
2018-04-19
Nanowire semiconductor device including lateral-etch barrier region
Grant 9,947,744 - Basker , et al. April 17, 2
2018-04-17
Tunneling fin type field effect transistor with epitaxial source and drain regions
Grant 9,947,586 - Basker , et al. April 17, 2
2018-04-17
Self-aligned Spacer For Cut-last Transistor Fabrication
App 20180083120 - Bao; Ruqiang ;   et al.
2018-03-22
Sacrificial Cap For Forming Semiconductor Contact
App 20180082909 - Adusumilli; Praneet ;   et al.
2018-03-22
Self-aligned Spacer For Cut-last Transistor Fabrication
App 20180082905 - Bao; Ruqiang ;   et al.
2018-03-22
Pure boron for silicide contact
Grant 9,923,074 - Chen , et al. March 20, 2
2018-03-20
Epitaxial And Silicide Layer Formation At Top And Bottom Surfaces Of Semiconductor Fins
App 20180076299 - Cheng; Kangguo ;   et al.
2018-03-15
Forming a contact for a semiconductor device
Grant 9,917,060 - Gluschenkov , et al. March 13, 2
2018-03-13
Silicon Nitride Fill For Pc Gap Regions To Increase Cell Density
App 20180069002 - Guo; Dechao ;   et al.
2018-03-08
Extremely Thin Silicon-on-insulator Silicon Germanium Device Without Edge Strain Relaxation
App 20180069024 - CHENG; Kangguo ;   et al.
2018-03-08
Composite Spacer Enabling Uniform Doping In Recessed Fin Devices
App 20180061966 - Basker; Veeraraghavan S. ;   et al.
2018-03-01
Semiconductor Device Including Enhanced Low-k Spacer
App 20180053830 - Cheng; Kangguo ;   et al.
2018-02-22
Split fin field effect transistor enabling back bias on fin type field effect transistors
Grant 9,899,524 - Basker , et al. February 20, 2
2018-02-20
Increased contact area for finFETs
Grant 9,899,525 - Basker , et al. February 20, 2
2018-02-20
Fin field effect transistor fabrication and devices having inverted T-shaped gate
Grant 9,893,171 - Basker , et al. February 13, 2
2018-02-13
Epitaxial and silicide layer formation at top and bottom surfaces of semiconductor fins
Grant 9,882,024 - Cheng , et al. January 30, 2
2018-01-30
Top Contact Resistance Measurement In Vertical Fets
App 20180025950 - Cheng; Kangguo ;   et al.
2018-01-25
Top Contact Resistance Measurement In Vertical Fets
App 20180025954 - Cheng; Kangguo ;   et al.
2018-01-25
Punch Through Stopper In Bulk Finfet Device
App 20180026120 - Basker; Veeraraghavan S. ;   et al.
2018-01-25
Fabrication of vertical doped fins for complementary metal oxide semiconductor field effect transistors
Grant 9,871,041 - Cheng , et al. January 16, 2
2018-01-16
Forming CMOSFET structures with different contact liners
Grant 9,870,958 - Cheng , et al. January 16, 2
2018-01-16
Method and structure to fabricate closely packed hybrid nanowires at scaled pitch
Grant 9,865,508 - Basker , et al. January 9, 2
2018-01-09
Fabrication Of Vertical Doped Fins For Complementary Metal Oxide Semiconductor Field Effect Transistors
App 20180006036 - Cheng; Kangguo ;   et al.
2018-01-04
Fabrication Of Vertical Doped Fins For Complementary Metal Oxide Semiconductor Field Effect Transistors
App 20180006037 - Cheng; Kangguo ;   et al.
2018-01-04
Silicon nitride fill for PC gap regions to increase cell density
Grant 9,859,275 - Guo , et al. January 2, 2
2018-01-02
Low-drive current FinFET structure for improving circuit density of ratioed logic in SRAM devices
Grant 9,859,286 - Basker , et al. January 2, 2
2018-01-02
Dual FIN integration for electron and hole mobility enhancement
Grant 9,859,281 - Chen , et al. January 2, 2
2018-01-02
Formation Of A Semiconductor Device With Selective Nitride Grown On Conductor
App 20170373063 - Bao; Ruqiang ;   et al.
2017-12-28
Extremely thin silicon-on-insulator silicon germanium device without edge strain relaxation
Grant 9,853,054 - Cheng , et al. December 26, 2
2017-12-26
Self aligned epitaxial based punch through control
Grant 9,853,159 - Basker , et al. December 26, 2
2017-12-26
Method and structure for multigate FinFet device epi-extension junction control by hydrogen treatment
Grant 9,853,158 - Basker , et al. December 26, 2
2017-12-26
Airgap Spacers
App 20170358673 - Cheng; Kangguo ;   et al.
2017-12-14
Fin Field Effect Transistor Fabrication And Devices Having Inverted T-shaped Gate
App 20170352659 - Basker; Veeraraghavan S. ;   et al.
2017-12-07
Fin Field Effect Transistor Fabrication And Devices Having Inverted T-shaped Gate
App 20170352744 - Basker; Veeraraghavan S. ;   et al.
2017-12-07
LOW RESISTANCE DUAL LINER CONTACTS FOR FIN FIELD-EFFECT TRANSISTORS (FinFETs)
App 20170352597 - Adusumilli; Praneet ;   et al.
2017-12-07
Split Fin Field Effect Transistor Enabling Back Bias On Fin Type Field Effect Transistors
App 20170323944 - Basker; Veeraraghavan S. ;   et al.
2017-11-09
Punch Through Stopper In Bulk Finfet Device
App 20170323956 - Basker; Veeraraghavan S. ;   et al.
2017-11-09
Split Fin Field Effect Transistor Enabling Back Bias On Fin Type Field Effect Transistors
App 20170323964 - Basker; Veeraraghavan S. ;   et al.
2017-11-09
Vehicle body and manufacturing method
Grant 9,809,233 - Kendall , et al. November 7, 2
2017-11-07
Dual silicide liner flow for enabling low contact resistance
Grant 9,805,973 - Adusumilli , et al. October 31, 2
2017-10-31
Split fin field effect transistor enabling back bias on fin type field effect transistors
Grant 9,806,155 - Basker , et al. October 31, 2
2017-10-31
Sacrificial cap for forming semiconductor contact
Grant 9,805,989 - Adusumilli , et al. October 31, 2
2017-10-31
S/d Contact Resistance Measurement On Finfets
App 20170307667 - Liu; Zuoguang ;   et al.
2017-10-26
Self Aligned Epitaxial Based Punch Through Control
App 20170301786 - Basker; Veeraraghavan S. ;   et al.
2017-10-19
Pure Boron For Silicide Contact
App 20170288036 - Chen; Chia-Yu ;   et al.
2017-10-05
Pure Boron For Silicide Contact
App 20170288035 - Chen; Chia-Yu ;   et al.
2017-10-05
Forming CMOSFET structures with different contact liners
Grant 9,773,709 - Cheng , et al. September 26, 2
2017-09-26
Low resistance dual liner contacts for Fin Field-Effect Transistors (FinFETs)
Grant 9,768,077 - Adusumilli , et al. September 19, 2
2017-09-19
FinFET having controlled dielectric region height
Grant 9,768,027 - Guo , et al. September 19, 2
2017-09-19
Top contact resistance measurement in vertical FETs
Grant 9,768,085 - Cheng , et al. September 19, 2
2017-09-19
Increased Contact Area For Finfets
App 20170250285 - Basker; Veeraraghavan S. ;   et al.
2017-08-31
Airgap Spacers
App 20170243968 - Cheng; Kangguo ;   et al.
2017-08-24
Pure boron for silicide contact
Grant 9,741,813 - Chen , et al. August 22, 2
2017-08-22
Tunneling Fin Type Field Effect Transistor With Epitaxial Source And Drain Regions
App 20170236755 - Basker; Veeraraghavan S. ;   et al.
2017-08-17
Pure boron for silicide contact
Grant 9,735,248 - Chen , et al. August 15, 2
2017-08-15
Nanowire Semiconductor Device Including Lateral-etch Barrier Region
App 20170229553 - Basker; Veeraraghavan S. ;   et al.
2017-08-10
Dual fin integration for electron and hole mobility enhancement
Grant 9,728,537 - Chen , et al. August 8, 2
2017-08-08
Nanowire Semiconductor Device Including Lateral-etch Barrier Region
App 20170221991 - Basker; Veeraraghavan S. ;   et al.
2017-08-03
Low Resistance Source Drain Contact Formation
App 20170213889 - Gluschenkov; Oleg ;   et al.
2017-07-27
Low Resistance Source Drain Contact Formation with Trench Metastable Alloys and Laser Annealing
App 20170213739 - Gluschenkov; Oleg ;   et al.
2017-07-27
Method and structure for multigate FinFET device epi-extension junction control by hydrogen treatment
Grant 9,711,645 - Basker , et al. July 18, 2
2017-07-18
Dual fin integration for electron and hole mobility enhancement
Grant 9,704,867 - Chen , et al. July 11, 2
2017-07-11
Self-aligned spacer for cut-last transistor fabrication
Grant 9,704,754 - Bao , et al. July 11, 2
2017-07-11
Extremely Thin Silicon-on-insulator Silicon Germanium Device Without Edge Strain Relaxation
App 20170186854 - CHENG; Kangguo ;   et al.
2017-06-29
Extremely Thin Silicon-on-insulator Silicon Germanium Device Without Edge Strain Relaxation
App 20170170195 - CHENG; Kangguo ;   et al.
2017-06-15
Method and Structure to Fabricate Closely Packed Hybrid Nanowires at Scaled Pitch
App 20170170073 - Basker; Veeraraghavan S. ;   et al.
2017-06-15
Extremely Thin Silicon-on-insulator Silicon Germanium Device Without Edge Strain Relaxation
App 20170170197 - CHENG; Kangguo ;   et al.
2017-06-15
Increased contact area for FinFETs
Grant 9,680,020 - Basker , et al. June 13, 2
2017-06-13
Airgap spacers
Grant 9,673,293 - Cheng , et al. June 6, 2
2017-06-06
Extremely thin silicon-on-insulator silicon germanium device without edge strain relaxation
Grant 9,659,960 - Cheng , et al. May 23, 2
2017-05-23
Silicon nanowire formation in replacement metal gate process
Grant 9,647,062 - Chen , et al. May 9, 2
2017-05-09
Dual Silicide Liner Flow For Enabling Low Contact Resistance
App 20170125306 - Adusumilli; Praneet ;   et al.
2017-05-04
Dual Silicide Liner Flow For Enabling Low Contact Resistance
App 20170125289 - Adusumilli; Praneet ;   et al.
2017-05-04
Dual Silicide Liner Flow For Enabling Low Contact Resistance
App 20170125338 - Adusumilli; Praneet ;   et al.
2017-05-04
Composite spacer enabling uniform doping in recessed fin devices
Grant 9,620,644 - Basker , et al. April 11, 2
2017-04-11
Self aligned epitaxial based punch through control
Grant 9,608,069 - Basker , et al. March 28, 2
2017-03-28
Method and structure to fabricate closely packed hybrid nanowires at scaled pitch
Grant 9,607,900 - Basker , et al. March 28, 2
2017-03-28
Semiconductor device including dual spacer and uniform epitaxial buffer interface of embedded SiGe source/drain
Grant 9,601,621 - Basker , et al. March 21, 2
2017-03-21
Punch Through Stopper In Bulk Finfet Device
App 20170077268 - Basker; Veeraraghavan S. ;   et al.
2017-03-16
Epitaxial And Silicide Layer Formation At Top And Bottom Surfaces Of Semiconductor Fins
App 20170077266 - Cheng; Kangguo ;   et al.
2017-03-16
Method and Structure to Fabricate Closely Packed Hybrid Nanowires at Scaled Pitch
App 20170077264 - Basker; Veeraraghavan S. ;   et al.
2017-03-16
Method And Structure To Fabricate Closely Packed Hybrid Nanowires At Scaled Pitch
App 20170076990 - Basker; Veeraraghavan S. ;   et al.
2017-03-16
Semiconductor device including dual spacer and uniform epitaxial buffer interface of embedded SiGe source/drain
Grant 9,595,597 - Basker , et al. March 14, 2
2017-03-14
Composite Spacer Enabling Uniform Doping In Recessed Fin Devices
App 20170062584 - Basker; Veeraraghavan S. ;   et al.
2017-03-02
Semiconductor Device Including Dual Spacer And Uniform Epitaxial Buffer Interface Of Embedded Sige Source/drain
App 20170062588 - Basker; Veeraraghavan S. ;   et al.
2017-03-02
Semiconductor Device Including Dual Spacer And Uniform Epitaxial Buffer Interface Of Embedded Sige Source/drain
App 20170062614 - Basker; Veeraraghavan S. ;   et al.
2017-03-02
Composite Spacer Enabling Uniform Doping In Recessed Fin Devices
App 20170062601 - Basker; Veeraraghavan S. ;   et al.
2017-03-02
Forming Cmosfet Structures With Different Contact Liners
App 20170053837 - Cheng; Kangguo ;   et al.
2017-02-23
Forming Cmosfet Structures With Different Contact Liners
App 20170053918 - Cheng; Kangguo ;   et al.
2017-02-23
Epitaxial And Silicide Layer Formation At Top And Bottom Surfaces Of Semiconductor Fins
App 20170047411 - Cheng; Kangguo ;   et al.
2017-02-16
Recessed metal liner contact with copper fill
Grant 9,570,574 - Adusumilli , et al. February 14, 2
2017-02-14
Pure Boron For Silicide Contact
App 20170033188 - Chen; Chia-Yu ;   et al.
2017-02-02
Pure Boron For Silicide Contact
App 20170033193 - Chen; Chia-Yu ;   et al.
2017-02-02
Punch through stopper in bulk finFET device
Grant 9,559,191 - Basker , et al. January 31, 2
2017-01-31
Increased Contact Area For Finfets
App 20170012129 - Basker; Veeraraghavan S. ;   et al.
2017-01-12
Nanowire Semiconductor Device Including Lateral-etch Barrier Region
App 20160380054 - Basker; Veeraraghavan S. ;   et al.
2016-12-29
Nanowire Semiconductor Device Including Lateral-etch Barrier Region
App 20160380083 - Basker; Veeraraghavan S. ;   et al.
2016-12-29
Punch Through Stopper In Bulk Finfet Device
App 20160372589 - Basker; Veeraraghavan S. ;   et al.
2016-12-22
Symmetrical extension junction formation with low-k spacer and dual epitaxial process in finFET device
Grant 9,525,048 - Basker , et al. December 20, 2
2016-12-20
Punch Through Stopper In Bulk Finfet Device
App 20160365432 - Basker; Veeraraghavan S. ;   et al.
2016-12-15
Forming CMOSFET structures with different contact liners
Grant 9,520,363 - Cheng , et al. December 13, 2
2016-12-13
3D fin tunneling field effect transistor
Grant 9,508,597 - Liu , et al. November 29, 2
2016-11-29
Nanowire semiconductor device including lateral-etch barrier region
Grant 9,502,523 - Basker , et al. November 22, 2
2016-11-22
Forming CMOSFET structures with different contact liners
Grant 9,502,309 - Cheng , et al. November 22, 2
2016-11-22
Dual Fin Integration For Electron And Hole Mobility Enhancement
App 20160336322 - Chen; Chia-Yu ;   et al.
2016-11-17
Dual Fin Integration For Electron And Hole Mobility Enhancement
App 20160336236 - Chen; Chia-Yu ;   et al.
2016-11-17
Dual Fin Integration For Electron And Hole Mobility Enhancement
App 20160336321 - Chen; Chia-Yu ;   et al.
2016-11-17
Recessed metal liner contact with copper fill
Grant 9,496,225 - Adusumilli , et al. November 15, 2
2016-11-15
Pure boron for silicide contact
Grant 9,484,431 - Chen , et al. November 1, 2
2016-11-01
Pure boron for silicide contact
Grant 9,484,256 - Chen , et al. November 1, 2
2016-11-01
Method And Structure For Multigate Finfet Device Epi-extension Junction Control By Hydrogen Treatment
App 20160315183 - Basker; Veeraraghavan S. ;   et al.
2016-10-27
Finfet Having Controlled Dielectric Region Height
App 20160314976 - Guo; Dechao ;   et al.
2016-10-27
Symmetrical Extension Junction Formation With Low-k Spacer And Dual Epitaxial Process In Finfet Device
App 20160284820 - Basker; Veeraraghavan S. ;   et al.
2016-09-29
Nanowire semiconductor device including lateral-etch barrier region
Grant 9,455,317 - Basker , et al. September 27, 2
2016-09-27
Semiconductor device including merged-unmerged work function metal and variable fin pitch
Grant 9,437,499 - Basker , et al. September 6, 2
2016-09-06
Dual fin integration for electron and hole mobility enhancement
Grant 9,437,445 - Chen , et al. September 6, 2
2016-09-06
Finfet Having Controlled Dielectric Region Height
App 20160254178 - Guo; Dechao ;   et al.
2016-09-01
Dual Fin Integration For Electron And Hole Mobility Enhancement
App 20160247685 - Chen; Chia-Yu ;   et al.
2016-08-25
Shallow trench isolation for end fin variation control
Grant 9,412,643 - Basker , et al. August 9, 2
2016-08-09
Silicon Nitride Fill For Pc Gap Regions To Increase Cell Density
App 20160218102 - Guo; Dechao ;   et al.
2016-07-28
Implantation Formed Metal-insulator-semiconductor (mis) Contacts
App 20160211343 - Chen; Chia-Yu ;   et al.
2016-07-21
Implantation Formed Metal-insulator-semiconductor (mis) Contacts
App 20160211340 - Chen; Chia-Yu ;   et al.
2016-07-21
Implantation Formed Metal-insulator-semiconductor (mis) Contacts
App 20160211342 - Chen; Chia-Yu ;   et al.
2016-07-21
Forming wrap-around silicide contact on finFET
Grant 9,397,197 - Guo , et al. July 19, 2
2016-07-19
Implantation formed metal-insulator-semiconductor (MIS) contacts
Grant 9,391,152 - Chen , et al. July 12, 2
2016-07-12
Low-drive Current Finfet Structure For Improving Circuit Density Of Ratioed Logic In Sram Devices
App 20160181254 - Basker; Veeraraghavan S. ;   et al.
2016-06-23
Low-drive Current Finfet Structure For Improving Circuit Density Of Ratioed Logic In Sram Devices
App 20160181256 - Basker; Veeraraghavan S. ;   et al.
2016-06-23
Symmetrical extension junction formation with low-K spacer and dual epitaxial process in FinFET device
Grant 9,362,407 - Basker , et al. June 7, 2
2016-06-07
Silicon nanowire formation in replacement metal gate process
Grant 9,331,146 - Chen , et al. May 3, 2
2016-05-03
Forming wrap-around silicide contact on finFET
Grant 9,318,581 - Guo , et al. April 19, 2
2016-04-19
Finfet Including Improved Epitaxial Topology
App 20160049515 - Basker; Veeraraghavan S. ;   et al.
2016-02-18
Finfet including improved epitaxial topology
Grant 9,257,537 - Basker , et al. February 9, 2
2016-02-09
Shallow trench isolation for end fin variation control
Grant 9,252,044 - Basker , et al. February 2, 2
2016-02-02
Independent gate vertical FinFET structure
Grant 9,252,145 - Basker , et al. February 2, 2
2016-02-02
Silicon Nanowire Formation In Replacement Metal Gate Process
App 20150364543 - Chen; Chia-Yu ;   et al.
2015-12-17
Silicon Nanowire Formation In Replacement Metal Gate Process
App 20150364544 - Chen; Chia-Yu ;   et al.
2015-12-17
Semiconductor Device Including Merged-unmerged Work Function Metal And Variable Fin Pitch
App 20150357246 - Basker; Veeraraghavan S. ;   et al.
2015-12-10
Semiconductor device including merged-unmerged work function metal and variable fin pitch
Grant 9,196,612 - Basker , et al. November 24, 2
2015-11-24
Independent gate vertical FinFET structure
Grant 9,190,466 - Basker , et al. November 17, 2
2015-11-17
Independent Gate Vertical Finfet Structure
App 20150325576 - Basker; Veeraraghavan S. ;   et al.
2015-11-12
Dual silicide regions and method for forming the same
Grant 9,177,810 - Basker , et al. November 3, 2
2015-11-03
Punch Through Stopper In Bulk Finfet Device
App 20150303284 - Basker; Veeraraghavan S. ;   et al.
2015-10-22
Semiconductor Device Including Merged-unmerged Work Function Metal And Variable Fin Pitch
App 20150279839 - Basker; Veeraraghavan S. ;   et al.
2015-10-01
Shallow Trench Isolation For End Fin Variation Control
App 20150270158 - Basker; Veeraraghavan S. ;   et al.
2015-09-24
Shallow Trench Isolation For End Fin Variation Control
App 20150270264 - Basker; Veeraraghavan S. ;   et al.
2015-09-24
Dual Silicide Regions And Method For Forming The Same
App 20150214058 - Basker; Veeraraghavan S. ;   et al.
2015-07-30
Finfet Including Improved Epitaxial Topology
App 20150187914 - Basker; Veeraraghavan S. ;   et al.
2015-07-02
Independent Gate Vertical Finfet Structure
App 20150187867 - Basker; Veeraraghavan S. ;   et al.
2015-07-02
Method And Structure For Multigate Finfet Device Epi-extension Junction Control By Hydrogen Treatment
App 20150187577 - Basker; Veeraraghavan S. ;   et al.
2015-07-02
Vehicle Body And Manufacturing Method
App 20150000556 - Kendall; Harold ;   et al.
2015-01-01

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed