loadpatents
name:-0.10179400444031
name:-0.085851907730103
name:-0.032902956008911
Lin; Huan-Just Patent Filings

Lin; Huan-Just

Patent Applications and Registrations

Patent applications and USPTO patent grants for Lin; Huan-Just.The latest application filed is for "fin field-effect transistor device and method".

Company Profile
29.78.96
  • Lin; Huan-Just - Hsinchu TW
  • LIN; Huan-Just - Hsinchu City TW
  • Lin; Huan-Just - Hsin-Chu TW
  • Lin; Huan-Just - Taichung TW
  • Lin; Huan-Just - Hsin-Chu City TW
  • Lin; Huan-Just - Hsin-chu County TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Fin Field-Effect Transistor Device and Method
App 20220293471 - Tsai; Meng Jhe ;   et al.
2022-09-15
Gap Patterning For Metal-to-source/drain Plugs In A Semiconductor Device
App 20220270931 - HUANG; Yu-Lien ;   et al.
2022-08-25
Memory Device And Method For Forming Thereof
App 20220271087 - LIN; HAN-TING ;   et al.
2022-08-25
Transistor Source/Drain Contacts and Methods of Forming the Same
App 20220262792 - Wu; Yang-Cheng ;   et al.
2022-08-18
Gap patterning for metal-to-source/drain plugs in a semiconductor device
Grant 11,355,399 - Huang , et al. June 7, 2
2022-06-07
Tuning Threshold Voltage Through Meta Stable Plasma Treatment
App 20220139712 - Wu; Shao-Jyun ;   et al.
2022-05-05
Semiconductor Structure And Method For Forming The Same
App 20220131070 - LIN; JIANN-HORNG ;   et al.
2022-04-28
Etch Profile Control Of Via Opening
App 20220102219 - HSIUNG; Te-Chih ;   et al.
2022-03-31
Integrated Circuit Structure And Manufacturing Method Thereof
App 20220102204 - HSIUNG; Te-Chih ;   et al.
2022-03-31
Etch Profile Control Of Gate Contact Opening
App 20220102199 - HSIUNG; Te-Chih ;   et al.
2022-03-31
Integrated Circuit Structure And Manufacturing Method Thereof
App 20220102211 - HSIUNG; Te-Chih ;   et al.
2022-03-31
Etch Profile Control Of Gate Contact Opening
App 20220102202 - HSIUNG; Te-Chih ;   et al.
2022-03-31
Interconnect Structure for Semiconductor Devices
App 20220102138 - Wang; Po-Chuan ;   et al.
2022-03-31
Etch Profile Control Of Gate Contact Opening
App 20220102507 - HSIUNG; Te-Chih ;   et al.
2022-03-31
Etch Profile Control Of Via Opening
App 20220102511 - HSIUNG; Te-Chih ;   et al.
2022-03-31
Middle-of-line Interconnect Structure And Manufacturing Method
App 20220093757 - Huang; Yu-Lien ;   et al.
2022-03-24
Tuning threshold voltage through meta stable plasma treatment
Grant 11,239,083 - Wu , et al. February 1, 2
2022-02-01
Memory Device Structure And Method For Forming The Same
App 20220029091 - WANG; Hsing-Hsiang ;   et al.
2022-01-27
Method of forming isolation layer
Grant 11,227,788 - Tsai , et al. January 18, 2
2022-01-18
Memory Cell With Low Resistance Top Electrode Contact And Methods For Forming The Same
App 20210399207 - WANG; Hsing-Hsiang ;   et al.
2021-12-23
Gap Patterning For Metal-to-source/drain Plugs In A Semiconductor Device
App 20210366780 - HUANG; Yu-Lien ;   et al.
2021-11-25
Semiconductor Device and Method
App 20210358811 - Wu; Shao-Jyun ;   et al.
2021-11-18
Fin Field-effect Transistor And Method Of Forming The Same
App 20210359104 - Pan; Sheng-Liang ;   et al.
2021-11-18
Interconnect Structures For Semiconductor Devices And Methods Of Manufacturing The Same
App 20210335673 - Huang; Yu-Lien ;   et al.
2021-10-28
Selective NFET/PFET recess of source/drain regions
Grant 11,139,211 - Chang , et al. October 5, 2
2021-10-05
Method of forming MOSFET structure
Grant 11,127,837 - Fu , et al. September 21, 2
2021-09-21
Layer for side wall passivation
Grant 11,121,025 - Hsu , et al. September 14, 2
2021-09-14
Self-aligned insulated film for high-K metal gate device
Grant 11,094,545 - Ng , et al. August 17, 2
2021-08-17
Semiconductor device and method
Grant 11,081,396 - Wu , et al. August 3, 2
2021-08-03
Semiconductor Device and Method
App 20210082768 - Wu; Shao-Jyun ;   et al.
2021-03-18
Method Of Forming A Vertical Device
App 20210050430 - CHEN; DE-FANG ;   et al.
2021-02-18
Self-aligned nanowire formation using double patterning
Grant 10,879,129 - Fu , et al. December 29, 2
2020-12-29
Vertical device having a protrusion structure
Grant 10,854,728 - Chen , et al. December 1, 2
2020-12-01
Method Of Forming Isolation Layer
App 20200335388 - TSAI; Teng-Chun ;   et al.
2020-10-22
Tuning Threshold Voltage Through Meta Stable Plasma Treatment
App 20200294805 - Wu; Shao-Jyun ;   et al.
2020-09-17
Method of forming isolation layer
Grant 10,707,114 - Tsai , et al.
2020-07-07
Methods for controlling an end-to-end distance in semiconductor device
Grant 10,692,720 - Huang , et al.
2020-06-23
Selective NFET/PFET Recess of Source/Drain Regions
App 20200185278 - Chang; Yun-Min ;   et al.
2020-06-11
Method Of Forming A Vertical Device
App 20200111887 - CHEN; DE-FANG ;   et al.
2020-04-09
Layer for Side Wall Passivation
App 20200105586 - Hsu; Yun-Chang ;   et al.
2020-04-02
Self-Aligned Nanowire Formation Using Double Patterning
App 20200083110 - Fu; Ching-Feng ;   et al.
2020-03-12
Methods for Controlling an End-to-End Distance in Semiconductor Device
App 20200083046 - Huang; Yu-Lien ;   et al.
2020-03-12
Method of Forming MOSFET Structure
App 20200058765 - Fu; Ching-Feng ;   et al.
2020-02-20
Selective NFET/PFET recess of source/drain regions
Grant 10,553,492 - Chang , et al. Fe
2020-02-04
Tuning threshold voltage through meta stable plasma treatment
Grant 10,535,524 - Wu , et al. Ja
2020-01-14
Semiconductor methods and devices
Grant 10,515,818 - Liu , et al. Dec
2019-12-24
Methods for controlling an end-to-end distance in semiconductor device
Grant 10,504,729 - Huang , et al. Dec
2019-12-10
Self-aligned nanowire formation using double patterning
Grant 10,504,792 - Fu , et al. Dec
2019-12-10
Vertical device having a protrusion source
Grant 10,505,014 - Chen , et al. Dec
2019-12-10
Self-Aligned Insulated Film for High-K Metal Gate Device
App 20190341263 - Ng; Jin-Aun ;   et al.
2019-11-07
Selective Nfet/pfet Recess Of Source/drain Regions
App 20190333820 - Chang; Yun-Min ;   et al.
2019-10-31
Method of forming MOSFET structure
Grant 10,461,170 - Fu , et al. Oc
2019-10-29
Method of forming isolation layer
Grant 10,418,271 - Tsai , et al. Sept
2019-09-17
Methods for Controlling an End-to-End Distance in Semiconductor Device
App 20190259613 - Huang; Yu-Lien ;   et al.
2019-08-22
Self-aligned insulated film for high-k metal gate device
Grant 10,388,531 - Ng , et al. A
2019-08-20
Semiconductor device and method of forming vertical structure
Grant 10,325,994 - Peng , et al.
2019-06-18
Methods for controlling an end-to-end distance in semiconductor device
Grant 10,312,089 - Huang , et al.
2019-06-04
Methods For Controlling An End-to-end Distance In Semiconductor Device
App 20190164759 - HUANG; Yu-Lien ;   et al.
2019-05-30
Gate structure
Grant 10,276,725 - Fu , et al.
2019-04-30
Self-Aligned Nanowire Formation Using Double Patterning
App 20190122936 - Fu; Ching-Feng ;   et al.
2019-04-25
Self-aligned nanowire formation using double patterning
Grant 10,163,723 - Fu , et al. Dec
2018-12-25
Method Of Forming Isolation Layer
App 20180350655 - TSAI; Teng-Chun ;   et al.
2018-12-06
Semiconductor Device And Method Of Forming Vertical Structure
App 20180240882 - PENG; Chih-Tang ;   et al.
2018-08-23
Methods for fabricating vertical-gate-all-around transistor structures
Grant 10,026,658 - Tsai , et al. July 17, 2
2018-07-17
Semiconductor Methods and Devices
App 20180151382 - Liu; Chi-Kang ;   et al.
2018-05-31
Contact for high-k metal gate device
Grant 9,978,850 - Chuang , et al. May 22, 2
2018-05-22
Method of making a silicide beneath a vertical structure
Grant 9,966,448 - Lin , et al. May 8, 2
2018-05-08
Semiconductor device and method of forming vertical structure
Grant 9,954,069 - Peng , et al. April 24, 2
2018-04-24
Nano wire structure and method for fabricating the same
Grant 9,911,661 - Fu , et al. March 6, 2
2018-03-06
Self-Aligned Insulated Film For High-K Metal Gate Device
App 20180019133 - Ng; Jin-Aun ;   et al.
2018-01-18
Nano Wire Structure and Method for Fabricating the Same
App 20170365524 - Fu; Ching-Feng ;   et al.
2017-12-21
Contact for High-K Metal Gate Device
App 20170317180 - Chuang; Harry-Hak-Lay ;   et al.
2017-11-02
Vertical structure having an etch stop over portion of the source
Grant 9,805,968 - Lin , et al. October 31, 2
2017-10-31
Self-aligned insulated film for high-k metal gate device
Grant 9,779,947 - Ng , et al. October 3, 2
2017-10-03
Nano wire structure and method for fabricating the same
Grant 9,741,621 - Fu , et al. August 22, 2
2017-08-22
Self-Aligned Nanowire Formation Using Double Patterning
App 20170229349 - Fu; Ching-Feng ;   et al.
2017-08-10
Contact for high-k metal gate device
Grant 9,711,605 - Chuang , et al. July 18, 2
2017-07-18
Method Of Forming A Vertical Device
App 20170200804 - CHEN; DE-FANG ;   et al.
2017-07-13
Iterative self-aligned patterning
Grant 9,685,332 - Chen , et al. June 20, 2
2017-06-20
Gate Structure
App 20170162720 - Fu; Ching-Feng ;   et al.
2017-06-08
Nano Wire Structure and Method for Fabricating the Same
App 20170154824 - Fu; Ching-Feng ;   et al.
2017-06-01
Vertical Structure and Method of Forming Semiconductor Device
App 20170154807 - Lin; Cheng-Tung ;   et al.
2017-06-01
Self-aligned nanowire formation using double patterning
Grant 9,633,907 - Fu , et al. April 25, 2
2017-04-25
Method of forming a vertical device
Grant 9,614,054 - Chen , et al. April 4, 2
2017-04-04
Method of forming channel of gate structure
Grant 9,590,090 - Fu , et al. March 7, 2
2017-03-07
Vertical structure and method of forming semiconductor device
Grant 9,577,093 - Lin , et al. February 21, 2
2017-02-21
Nano wire structure and method for fabricating the same
Grant 9,570,358 - Fu , et al. February 14, 2
2017-02-14
Semiconductor device and manufacturing method thereof
Grant 9,508,817 - Yen , et al. November 29, 2
2016-11-29
Nano Wire Structure and Method for Fabricating the Same
App 20160343620 - Fu; Ching-Feng ;   et al.
2016-11-24
Contact For High-k Metal Gate Device
App 20160293721 - Chuang; Harry-Hak-Lay ;   et al.
2016-10-06
Fin patterning methods for increased process margin
Grant 9,449,880 - Tseng , et al. September 20, 2
2016-09-20
Fin Patterning Methods For Increased Process Margin
App 20160254191 - Tseng; Chin-Yuan ;   et al.
2016-09-01
Method Of Forming Mosfet Structure
App 20160247896 - FU; CHING-FENG ;   et al.
2016-08-25
Nano wire structure and method for fabricating the same
Grant 9,412,614 - Fu , et al. August 9, 2
2016-08-09
Semiconductor Device And Method Of Forming Vertical Structure
App 20160211370 - PENG; CHIH-TANG ;   et al.
2016-07-21
Self-aligned Insulated Film For High-k Metal Gate Device
App 20160196979 - Ng; Jin-Aun ;   et al.
2016-07-07
Contact for high-k metal gate device
Grant 9,368,603 - Chuang , et al. June 14, 2
2016-06-14
Method of forming MOSFET structure
Grant 9,343,412 - Fu , et al. May 17, 2
2016-05-17
Method Of Forming A Vertical Device
App 20160111523 - CHEN; DE-FANG ;   et al.
2016-04-21
Iterative Self-aligned Patterning
App 20160111297 - CHEN; DE-FANG ;   et al.
2016-04-21
Semiconductor device and method of forming vertical structure
Grant 9,318,447 - Peng , et al. April 19, 2
2016-04-19
Semiconductor Device And Manufacturing Method Thereof
App 20160043173 - YEN; Yung-Sung ;   et al.
2016-02-11
Vertical Structure And Method Of Forming Semiconductor Device
App 20160027917 - LIN; CHENG-TUNG ;   et al.
2016-01-28
Semiconductor Device And Method Of Forming Vertical Structure
App 20160020180 - PENG; CHIH-TANG ;   et al.
2016-01-21
Method of forming a vertical device
Grant 9,224,833 - Chen , et al. December 29, 2
2015-12-29
Method Of Forming Isolation Layer
App 20150364358 - TSAI; TENG-CHUN ;   et al.
2015-12-17
Self-aligned Nanowire Formation Using Double Patterning
App 20150348848 - Fu; Ching-Feng ;   et al.
2015-12-03
Nano Wire Structure and Method for Fabricating the Same
App 20150348796 - Fu; Ching-Feng ;   et al.
2015-12-03
Vertical Structure And Method Of Forming The Same
App 20150333152 - LIN; CHENG-TUNG ;   et al.
2015-11-19
Vertical structure and method of forming semiconductor device
Grant 9,166,001 - Lin , et al. October 20, 2
2015-10-20
Systems And Methods For Fabricating Vertical-gate-all-around Transistor Structures
App 20150295040 - TSAI; TENG-CHUN ;   et al.
2015-10-15
Chemical mechanical polish process control for improvement in within-wafer thickness uniformity
Grant 9,132,523 - Lee , et al. September 15, 2
2015-09-15
Vertical Structure And Method Of Forming Semiconductor Device
App 20150228718 - LIN; CHENG-TUNG ;   et al.
2015-08-13
Method Of Forming Mosfet Structure
App 20150228483 - FU; CHING-FENG ;   et al.
2015-08-13
Vertical Device And Method Of Forming The Same
App 20150228759 - CHEN; DE-FANG ;   et al.
2015-08-13
Method Of Forming Channel Of Gate Structure
App 20150194497 - FU; CHING-FENG ;   et al.
2015-07-09
Field effect transistors and method of forming the same
Grant 8,969,922 - Liu , et al. March 3, 2
2015-03-03
Contact For High-k Metal Gate Device
App 20150021672 - Chuang; Harry-Hak-Lay ;   et al.
2015-01-22
Self-aligned Insulated Film For High-k Metal Gate Device
App 20140367802 - Ng; Jin-Aun ;   et al.
2014-12-18
Contact for high-k metal gate device
Grant 8,853,753 - Chuang , et al. October 7, 2
2014-10-07
Self-aligned insulated film for high-k metal gate device
Grant 8,822,283 - Ng , et al. September 2, 2
2014-09-02
Method of forming SOI-like structure in a bulk semiconductor substrate by annealing a lower portion of a trench while protecting an upper portion of the trench
Grant 8,673,736 - Yang , et al. March 18, 2
2014-03-18
Contact for High-K Metal Gate Device
App 20130328115 - Chuang; Harry-Hak-Lay ;   et al.
2013-12-12
Contact for high-K metal gate device
Grant 8,546,227 - Chuang , et al. October 1, 2
2013-10-01
Semiconductor Device and Method of Forming the Same
App 20130200461 - Liu; Chia-Chu ;   et al.
2013-08-08
Contact For High-k Metal Gate Device
App 20130069174 - Chuang; Hak-Lay ;   et al.
2013-03-21
Self-aligned Insulated Film For High-k Metal Gate Device
App 20130056837 - Ng; Jin-Aun ;   et al.
2013-03-07
Method of forming contacts for a semiconductor device
Grant 8,222,136 - Tu , et al. July 17, 2
2012-07-17
Chemical Mechanical Polish Process Control for Improvement in Within-Wafer Thickness Uniformity
App 20120164918 - Lee; Shen-Nan ;   et al.
2012-06-28
Method Of Forming Contacts For A Semiconductor Device
App 20120094485 - Tu; Yuan-Tien ;   et al.
2012-04-19
High planarizing method for use in a gate last process
Grant 8,153,526 - Lee , et al. April 10, 2
2012-04-10
Chemical mechanical polish process control for improvement in within-wafer thickness uniformity
Grant 8,129,279 - Lee , et al. March 6, 2
2012-03-06
Semiconductor devices with dual-metal gate structures and fabrication methods thereof
Grant 7,947,591 - Hsu , et al. May 24, 2
2011-05-24
MOS devices with continuous contact etch stop layer
Grant 7,732,878 - Yao , et al. June 8, 2
2010-06-08
Chemical Mechanical Polish Process Control for Improvement in Within-Wafer Thickness Uniformity
App 20100093259 - Lee; Shen-Nan ;   et al.
2010-04-15
High Planarizing Method For Use In A Gate Last Process
App 20100048007 - Lee; Shen-Nan ;   et al.
2010-02-25
Novel Seal Isolation Liner For Use In Contact Hole Formation
App 20090137119 - Liu; Hsin-Lun ;   et al.
2009-05-28
Semiconductor Devices With Dual-metal Gate Structures And Fabrication Methods Thereof
App 20080188044 - Hsu; Peng-Fu ;   et al.
2008-08-07
In-situ plasma treatment of advanced resists in fine pattern definition
Grant 7,390,753 - Lin , et al. June 24, 2
2008-06-24
Semiconductor devices with dual-metal gate structures and fabrication methods thereof
Grant 7,378,713 - Hsu , et al. May 27, 2
2008-05-27
Semiconductor Devices With Dual-metal Gate Structures And Fabrication Methods Thereof
App 20080099851 - Hsu; Peng-Fu ;   et al.
2008-05-01
MOS devices with continuous contact etch stop layer
App 20080093675 - Yao; Liang-Gi ;   et al.
2008-04-24
Method to control gate CD
Grant RE39,913 - Tao , et al. November 6, 2
2007-11-06
In-situ plasma treatment of advanced resists in fine pattern definition
App 20070111110 - Lin; Li-Te ;   et al.
2007-05-17
SOI-like structures in a bulk semiconductor substrate
App 20070063282 - Yang; Ji-Yi ;   et al.
2007-03-22
Method of forming SOI-like structure in a bulk semiconductor substrate using self-organized atomic migration
App 20070032037 - Yang; Ji-Yi ;   et al.
2007-02-08
Method of forming SOI-like structure in a bulk semiconductor substrate using self-organized atomic migration
Grant 7,157,350 - Yang , et al. January 2, 2
2007-01-02
Large-scale trimming for ultra-narrow gates
App 20060205224 - Huang; Ming-Jie ;   et al.
2006-09-14
Method of forming a stacked capacitor structure with increased surface area for a DRAM device
Grant 7,023,042 - Chan , et al. April 4, 2
2006-04-04
Large-scale trimming for ultra-narrow gates
Grant 7,008,866 - Huang , et al. March 7, 2
2006-03-07
SOI-like structure in a bulk semiconductor substrate and method of forming same
App 20050253194 - Yang, Ji-Yi ;   et al.
2005-11-17
Large-scale trimming for ultra-narrow gates
App 20050133827 - Huang, Ming-Jie ;   et al.
2005-06-23
Method for cleaning an integrated circuit device using an aqueous cleaning composition
App 20050092348 - Chiang, Ju-Chien ;   et al.
2005-05-05
Fully dry, Si recess free process for removing high k dielectric layer
App 20050081781 - Lin, Huan-Just ;   et al.
2005-04-21
Method using wet etching to trim a critical dimension
Grant 6,828,205 - Tsai , et al. December 7, 2
2004-12-07
Method of pull back for forming shallow trench isolation
Grant 6,828,248 - Tao , et al. December 7, 2
2004-12-07
Dynamic Feed Forward Temperature Control To Achieve Cd Etching Uniformity
App 20040182822 - Chen, Li-Shiun ;   et al.
2004-09-23
Dynamic feed forward temperature control to achieve CD etching uniformity
Grant 6,794,302 - Chen , et al. September 21, 2
2004-09-21
Method of forming a stacked capacitor structure with increased surface area for a DRAM device
App 20040142531 - Chan, Bor-Wen ;   et al.
2004-07-22
Method of forming a stacked capacitor structure with increased surface area for a DRAM device
Grant 6,706,591 - Chan , et al. March 16, 2
2004-03-16
Method for etching silicon nitride selective to titanium silicide
Grant 6,656,847 - Lin , et al. December 2, 2
2003-12-02
Method using wet etching to trim a critical dimension
App 20030148619 - Tsai, Ming-Huan ;   et al.
2003-08-07
Selectively controllable gas feed zones for a plasma reactor
Grant 6,590,344 - Tao , et al. July 8, 2
2003-07-08
Selectively Controllable Gas Feed Zones For A Plasma Reactor
App 20030094903 - Tao, Jhun-jan ;   et al.
2003-05-22
Method of patterning narrow gate electrode
Grant 6,174,818 - Tao , et al. January 16, 2
2001-01-16
Method for fabricating a T-shaped hard mask/conductor profile to improve self-aligned contact isolation
Grant 6,140,218 - Liu , et al. October 31, 2
2000-10-31

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed