loadpatents
name:-0.47469782829285
name:-0.3093249797821
name:-0.081252098083496
LIN; Chin-Hsiang Patent Filings

LIN; Chin-Hsiang

Patent Applications and Registrations

Patent applications and USPTO patent grants for LIN; Chin-Hsiang.The latest application filed is for "resist dispensing system and method of use".

Company Profile
93.200.200
  • LIN; Chin-Hsiang - Hsinchu TW
  • Lin; Chin-Hsiang - Taipei N/A TW
  • Lin; Chin-Hsiang - Hsin-chu TW
  • LIN; Chin-Hsiang - Hsinchu City TW
  • Lin; Chin-Hsiang - Taipei City TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Resist Dispensing System And Method Of Use
App 20220308452 - CHANG; Ya-Ching ;   et al.
2022-09-29
Method Of Manufacturing Semiconductor Devices
App 20220285168 - LIU; Ru-Gun ;   et al.
2022-09-08
Multi-power management system and operation method thereof
Grant 11,435,817 - Lin , et al. September 6, 2
2022-09-06
Lithography apparatus and method for using the same
Grant 11,437,161 - Chang , et al. September 6, 2
2022-09-06
Photolithography method and apparatus
Grant 11,429,027 - Yu , et al. August 30, 2
2022-08-30
Extreme ultraviolet photoresist with high-efficiency electron transfer
Grant 11,422,465 - Lai , et al. August 23, 2
2022-08-23
Method Of Manufacturing A Semiconductor Device And Apparatus For Manufacturing The Semiconductor Device
App 20220260931 - YU; Shinn-Sheng ;   et al.
2022-08-18
FinFETs and methods of forming FinFETs
Grant 11,411,113 - Lin , et al. August 9, 2
2022-08-09
Photoresist Developer And Method Of Developing Photoresist
App 20220244639 - ZI; An-Ren ;   et al.
2022-08-04
Method Of Manufacturing A Semiconductor Device
App 20220230889 - CHEN; Yen-Hao ;   et al.
2022-07-21
Grafting design for pattern post-treatment in semiconductor manufacturing
Grant 11,387,104 - Wang , et al. July 12, 2
2022-07-12
Extreme ultraviolet photoresist and method
Grant 11,378,884 - Liu , et al. July 5, 2
2022-07-05
Method of manufacturing semiconductor devices
Grant 11,342,193 - Liu , et al. May 24, 2
2022-05-24
Fine Line Patterning Methods
App 20220157605 - HUANG; Shih-Chun ;   et al.
2022-05-19
Method of manufacturing a semiconductor device and apparatus for manufacturing the semiconductor device
Grant 11,320,747 - Yu , et al. May 3, 2
2022-05-03
Humidity control in EUV lithography
Grant 11,307,504 - Zi , et al. April 19, 2
2022-04-19
Photoresist developer and method of developing photoresist
Grant 11,300,878 - Zi , et al. April 12, 2
2022-04-12
Pattern formation method using a photo mask for manufacturing a semiconductor device
Grant 11,294,286 - Liu , et al. April 5, 2
2022-04-05
Method of manufacturing a semiconductor device
Grant 11,295,961 - Chen , et al. April 5, 2
2022-04-05
Directional processing to remove a layer or a material formed over a substrate
Grant 11,289,332 - Huang , et al. March 29, 2
2022-03-29
Photoresist composition and method of forming photoresist pattern
Grant 11,287,740 - Zi , et al. March 29, 2
2022-03-29
Method for performing lithography process with post treatment
Grant 11,281,107 - Weng , et al. March 22, 2
2022-03-22
Method for manufacturing a semiconductor device and a coating material
Grant 11,276,568 - Chien , et al. March 15, 2
2022-03-15
Method of cleaning extreme ultraviolet lithography collector
Grant 11,262,659 - Zi , et al. March 1, 2
2022-03-01
Fine line patterning methods
Grant 11,239,078 - Huang , et al. February 1, 2
2022-02-01
Photoresist, developer, and method of forming photoresist pattern
Grant 11,215,924 - Zi , et al. January 4, 2
2022-01-04
Capping Layer For Gate Electrodes
App 20210384322 - LIN; Chin-Hsiang ;   et al.
2021-12-09
Dummy Fin Structures and Methods of Forming Same
App 20210375667 - Lin; Chin-Hsiang ;   et al.
2021-12-02
Method For Mask Data Synthesis With Wafer Target Adjustment
App 20210373443 - HUANG; Hsu-Ting ;   et al.
2021-12-02
Photoresist Developer And Method Of Manufacturing A Semiconductor Device
App 20210364924 - LIU; Chen-Yu ;   et al.
2021-11-25
Directional Deposition for Semiconductor Fabrication
App 20210358752 - Huang; Shih-Chun ;   et al.
2021-11-18
Photoresist Under-layer And Method Of Forming Photoresist Pattern
App 20210349391 - ZI; An-Ren ;   et al.
2021-11-11
Lithography Method For Positive Tone Development
App 20210341844 - WENG; Ming-Hui ;   et al.
2021-11-04
Resin, Photoresist Composition, And Method Of Manufacturing Semiconductor Device
App 20210341837 - WANG; Siao-Shan ;   et al.
2021-11-04
Wafer alignment mark scheme
Grant 11,162,777 - Tseng , et al. November 2, 2
2021-11-02
Capping layer for gate electrodes
Grant 11,164,956 - Lin , et al. November 2, 2
2021-11-02
Pattern fidelity enhancement with directional patterning technology
Grant 11,158,509 - Shen , et al. October 26, 2
2021-10-26
Multi-power Management System And Operation Method Thereof
App 20210325959 - Lin; Chin-Hsiang ;   et al.
2021-10-21
Method and apparatus for collecting information used in image-error compensation
Grant 11,150,561 - Cho , et al. October 19, 2
2021-10-19
Apparatus and method for generating an electromagnetic radiation
Grant 11,153,957 - Hsu , et al. October 19, 2
2021-10-19
Negative tone developer for extreme ultraviolet lithography
Grant 11,143,963 - Liu , et al. October 12, 2
2021-10-12
Method For Forming Vias And Method For Forming Contacts In Vias
App 20210313220 - LIN; Tzu-Yang ;   et al.
2021-10-07
Photoresist Composition And Method Of Manufacturing Semiconductor Device
App 20210311388 - LAI; Wei-Han ;   et al.
2021-10-07
Photoresist Composition And Method Of Manufacturing A Semiconductor Device
App 20210311393 - LIN; Tzu-Yang ;   et al.
2021-10-07
Semiconductor method of protecting wafer from bevel contamination
Grant 11,137,685 - Zi , et al. October 5, 2
2021-10-05
Lithography mask with both transmission-type and reflective-type overlay marks and method of fabricating the same
Grant 11,137,684 - Lin , et al. October 5, 2
2021-10-05
Lithography Mask with a Black Border Regions and Method of Fabricating the Same
App 20210294203 - Lin; Chin-Hsiang ;   et al.
2021-09-23
Photoresist Composition And Method Of Forming Photoresist Pattern
App 20210294212 - ZI; An-Ren ;   et al.
2021-09-23
Layout Modification Method For Exposure Manufacturing Process
App 20210296303 - CHO; Hung-Wen ;   et al.
2021-09-23
Photosensitive groups in resist layer
Grant 11,127,592 - Chang , et al. September 21, 2
2021-09-21
Method For Forming Semiconductor Structure
App 20210286269 - WENG; Ming-Hui ;   et al.
2021-09-16
Photoresist Composition And Method Of Forming Photoresist Pattern
App 20210278762 - ZI; An-Ren ;   et al.
2021-09-09
Method Of Manufacturing Semiconductor Devices
App 20210280455 - LIU; Ru-Gun ;   et al.
2021-09-09
Photoresist with gradient composition for improved uniformity
Grant 11,112,698 - Lilin , et al. September 7, 2
2021-09-07
Method For Forming Semiconductor Structure
App 20210263419 - LIN; Li-Yen ;   et al.
2021-08-26
Method for mask data synthesis with wafer target adjustment
Grant 11,092,899 - Huang , et al. August 17, 2
2021-08-17
Lithography method for positive tone development
Grant 11,079,681 - Weng , et al. August 3, 2
2021-08-03
Directional deposition for semiconductor fabrication
Grant 11,075,079 - Huang , et al. July 27, 2
2021-07-27
Dummy fin structures and methods of forming same
Grant 11,069,558 - Lin , et al. July 20, 2
2021-07-20
Underlayer Composition And Method Of Manufacturing A Semiconductor Device
App 20210200091 - HO; Chun-Chih ;   et al.
2021-07-01
Method for forming semiconductor structure
Grant 11,036,137 - Zi , et al. June 15, 2
2021-06-15
Method for forming vias and method for forming contacts in vias
Grant 11,037,820 - Lin , et al. June 15, 2
2021-06-15
Lithography mask with a black border regions and method of fabricating the same
Grant 11,029,593 - Lin , et al. June 8, 2
2021-06-08
Photoresist composition and method of forming photoresist pattern
Grant 11,029,602 - Zi , et al. June 8, 2
2021-06-08
Layout modification method for exposure manufacturing process
Grant 11,024,623 - Cho , et al. June 1, 2
2021-06-01
Bottom-up material formation for planarization
Grant 11,022,886 - Weng , et al. June 1, 2
2021-06-01
Photosensitive middle layer
Grant 11,022,885 - Ho , et al. June 1, 2
2021-06-01
Method Of Manufacturing A Semiconductor Device
App 20210159087 - CHEN; Yen-Hao ;   et al.
2021-05-27
Photoresist composition and method of forming photoresist pattern
Grant 11,016,386 - Zi , et al. May 25, 2
2021-05-25
Method for forming semiconductor structure
Grant 11,009,796 - Weng , et al. May 18, 2
2021-05-18
Extreme ultraviolet photoresist and method
Grant 11,003,076 - Chen , et al. May 11, 2
2021-05-11
Method of manufacturing semiconductor devices
Grant 11,004,729 - Liu , et al. May 11, 2
2021-05-11
Method for forming semiconductor structure
Grant 11,003,084 - Lin , et al. May 11, 2
2021-05-11
Method for forming semiconductor structure
Grant 10,990,013 - Zi , et al. April 27, 2
2021-04-27
Adhesion Layer For Multi-layer Photoresist
App 20210103218 - LIU; Chen-Yu ;   et al.
2021-04-08
Extreme Ultraviolet Photolithography Method With Developer Composition
App 20210103213 - Zi; An-Ren ;   et al.
2021-04-08
Method Of Manufacturing A Semiconductor Device And Apparatus For Manufacturing The Semiconductor Device
App 20210096475 - YU; Shinn-Sheng ;   et al.
2021-04-01
Method Of Manufacturing A Semiconductor Device
App 20210074538 - ZI; An-Ren ;   et al.
2021-03-11
Method For Forming Patterned Photoresist
App 20210063888 - HO; Chun-Chih ;   et al.
2021-03-04
Capping Layer For Gate Electrodes
App 20210057543 - LIN; Chin-Hsiang ;   et al.
2021-02-25
Control System For Plasma Chamber Having Controllable Valve
App 20210010137 - SU; Yen-Shuo ;   et al.
2021-01-14
Method Of Manufacturing Semiconductor Devices
App 20210013048 - LIU; Ru-Gun ;   et al.
2021-01-14
Topographic planarization method for lithography process
Grant 10,879,108 - Lin , et al. December 29, 2
2020-12-29
Method of controlling reticle masking blade positioning to minimize impact on critical dimension uniformity and device for controlling reticle masking blade positioning
Grant 10,871,713 - Cho , et al. December 22, 2
2020-12-22
Methods of reducing pattern roughness in semiconductor fabrication
Grant 10,872,773 - Wang , et al. December 22, 2
2020-12-22
Method of manufacturing a semiconductor device and apparatus for manufacturing the semiconductor device
Grant 10,866,525 - Yu , et al. December 15, 2
2020-12-15
Lithography techniques for reducing resist swelling
Grant 10,866,517 - Weng , et al. December 15, 2
2020-12-15
Lithography process using photoresist material with photosensitive functional group
Grant 10,866,515 - Weng , et al. December 15, 2
2020-12-15
Extreme ultraviolet photolithography method with developer composition
Grant 10,866,511 - Zi , et al. December 15, 2
2020-12-15
Lithography mask with a black border region and method of fabricating the same
Grant 10,866,504 - Lin , et al. December 15, 2
2020-12-15
Adhesion layer for multi-layer photoresist
Grant 10,859,915 - Liu , et al. December 8, 2
2020-12-08
Method and apparatus for performing a polishing process in semiconductor fabrication
Grant 10,857,649 - Lee , et al. December 8, 2
2020-12-08
Material composition and methods thereof
Grant 10,863,630 - Wang , et al. December 8, 2
2020-12-08
Method For Forming Semiconductor Structure By Patterning Resist Layer Having Inorganic Material
App 20200365398 - ZI; An-Ren ;   et al.
2020-11-19
Integrated system, integrated system operation method and film treatment method
Grant 10,840,102 - Yu , et al. November 17, 2
2020-11-17
Method And Apparatus For Collecting Information Used In Image-error Compensation
App 20200348586 - CHO; Hung-Wen ;   et al.
2020-11-05
Layout Modification Method For Exposure Manufacturing Process
App 20200350306 - CHO; Hung-Wen ;   et al.
2020-11-05
FinFETs and Methods of Forming FinFETs
App 20200343384 - Lin; Chin-Hsiang ;   et al.
2020-10-29
Method Composition and Methods Thereof
App 20200335349 - Wang; Siao-Shan ;   et al.
2020-10-22
Fine Line Patterning Methods
App 20200335340 - HUANG; Shih-Chun ;   et al.
2020-10-22
Material composition and process for substrate modification
Grant 10,802,402 - Lai , et al. October 13, 2
2020-10-13
Method For Performing Lithography Process With Post Treatment
App 20200319560 - WENG; Ming-Hui ;   et al.
2020-10-08
Humidity Control in EUV Lithography
App 20200319565 - Zi; An-Ren ;   et al.
2020-10-08
Method for performing a photolithography process
Grant 10,796,910 - Ko , et al. October 6, 2
2020-10-06
Method of manufacturing semiconductor devices
Grant 10,790,155 - Liu , et al. September 29, 2
2020-09-29
Control system for plasma chamber having controllable valve and method of using the same
Grant 10,787,742 - Su , et al. September 29, 2
2020-09-29
Method For Forming Semiconductor Structure
App 20200301280 - ZI; An-Ren ;   et al.
2020-09-24
Grafting Design for Pattern Post-Treatment in Semiconductor Manufacturing
App 20200294801 - Wang; Siao-Shan ;   et al.
2020-09-17
Multi-layer photoresist
Grant 10,777,681 - Zi , et al. Sept
2020-09-15
Resist solvents for photolithography applications
Grant 10,768,527 - Su , et al. Sep
2020-09-08
Pattern Fidelity Enhancement with Directional Patterning Technology
App 20200279743 - Shen; Yu-Tien ;   et al.
2020-09-03
Photoresist Composition And Method Of Forming Photoresist Pattern
App 20200272051 - HO; Chun-Chih ;   et al.
2020-08-27
Method Of Controlling Reticle Masking Blade Positioning To Minimize Impact On Critical Dimension Uniformity And Device For Contr
App 20200264515 - CHO; Hung-Wen ;   et al.
2020-08-20
Blocking layer material composition and methods thereof in semiconductor manufacturing
Grant 10,747,114 - Wang , et al. A
2020-08-18
Pellicle fabrication methods and structures thereof
Grant 10,747,103 - Lin , et al. A
2020-08-18
Semiconductor Method of Protecting Wafer from Bevel Contamination
App 20200257203 - A1
2020-08-13
Method for forming semiconductor structure by patterning resist layer having inorganic material
Grant 10,741,391 - Zi , et al. A
2020-08-11
Layout modification method for exposure manufacturing process
Grant 10,720,419 - Cho , et al.
2020-07-21
FinFETs and methods of forming FinFETs
Grant 10,714,620 - Lin , et al.
2020-07-14
Method and apparatus for collecting information used in image-error compensation
Grant 10,712,651 - Cho , et al.
2020-07-14
Fine line patterning methods
Grant 10,707,081 - Huang , et al.
2020-07-07
Method for performing lithography process with post treatment
Grant 10,691,023 - Weng , et al.
2020-06-23
Method for forming semiconductor structure by patterning assist layer having polymer
Grant 10,684,545 - Zi , et al.
2020-06-16
Method For Mask Data Synthesis With Wafer Target Adjustment
App 20200174380 - HUANG; Hsu-Ting ;   et al.
2020-06-04
Method of planarizing a wafer
Grant 10,668,592 - Lee , et al.
2020-06-02
Grafting design for pattern post-treatment in semiconductor manufacturing
Grant 10,672,610 - Wang , et al.
2020-06-02
Material composition and methods thereof
Grant 10,672,619 - Wang , et al.
2020-06-02
Lithography Method For Positive Tone Development
App 20200159110 - WENG; Ming-Hui ;   et al.
2020-05-21
Pattern fidelity enhancement with directional patterning technology
Grant 10,658,184 - Shen , et al.
2020-05-19
Methods of Reducing Pattern Roughness in Semiconductor Fabrication
App 20200152468 - Wang; Chien-Wei ;   et al.
2020-05-14
Resist material and method for forming semiconductor structure using resist layer
Grant 10,649,339 - Chang , et al.
2020-05-12
Material Composition And Methods Thereof
App 20200146154 - WANG; Siao-Shan ;   et al.
2020-05-07
Lithography Techniques for Reducing Resist Swelling
App 20200142316 - Weng; Ming-Hui ;   et al.
2020-05-07
Method of controlling reticle masking blade positioning to minimize impact on critical dimension uniformity and device for controlling reticle masking blade positioning
Grant 10,642,158 - Cho , et al.
2020-05-05
Method For Manufacturing A Semiconductor Device And A Coating Material
App 20200135454 - CHIEN; Yu-Ling Chang ;   et al.
2020-04-30
Protective Composition And Method Of Forming Photoresist Pattern
App 20200135451 - ZI; An-Ren ;   et al.
2020-04-30
Wafer Alignment Mark Scheme
App 20200132436 - Tseng; Wei-Hsiang ;   et al.
2020-04-30
Apparatus And Method For Generating An Electromagnetic Radiation
App 20200137863 - HSU; TZU JENG ;   et al.
2020-04-30
Extreme Ultraviolet Photoresist and Method
App 20200133124 - Liu; Chen-Yu ;   et al.
2020-04-30
Method For Performing A Photolithography Process
App 20200135452 - KO; Tsung-Han ;   et al.
2020-04-30
Semiconductor method of protecting wafer from bevel contamination
Grant 10,635,000 - Zi , et al.
2020-04-28
Negative Tone Developer for Extreme Ultraviolet Lithography
App 20200124971 - Liu; Chen-Yu ;   et al.
2020-04-23
Lithography Mask With Both Transmission-type And Reflective-type Overlay Marks And Method Of Fabricating The Same
App 20200124958 - Lin; Yun-Yue ;   et al.
2020-04-23
Extreme Ultraviolet Photoresist With High-Efficiency Electron Transfer
App 20200124964 - Lai; Wei-Han ;   et al.
2020-04-23
Method For Forming Vias And Method For Forming Contacts In Vias
App 20200126849 - LIN; Tzu-Yang ;   et al.
2020-04-23
Dummy Fin Structures And Methods Of Forming Same
App 20200118867 - Lin; Chin-Hsiang ;   et al.
2020-04-16
Photoresist Composition And Method Of Forming Photoresist Pattern
App 20200105522 - LIU; Chen-Yu ;   et al.
2020-04-02
Adhesion Layer For Multi-layer Photoresist
App 20200098558 - LIU; Chen-Yu ;   et al.
2020-03-26
Photoresist, Developer, And Method Of Forming Photoresist Pattern
App 20200073238 - ZI; An-Ren ;   et al.
2020-03-05
Photosensitive Middle Layer
App 20200073243 - Ho; Chun-Chih ;   et al.
2020-03-05
Method Of Cleaning Extreme Ultraviolet Lithography Collector
App 20200073250 - ZI; An-Ren ;   et al.
2020-03-05
Method for performing a photolithography process
Grant 10,573,519 - Ko , et al. Feb
2020-02-25
Photolithography Method And Apparatus
App 20200057375 - YU; Shinn-Sheng ;   et al.
2020-02-20
Resist Solvents for Photolithography Applications
App 20200050110 - Su; Yu-Chung ;   et al.
2020-02-13
Lithography Mask with a Black Border Regions and Method of Fabricating the Same
App 20200050098 - Lin; Chin-Hsiang ;   et al.
2020-02-13
Method Of Manufacturing A Semiconductor Device And Apparatus For Manufacturing The Semiconductor Device
App 20200041915 - YU; Shinn-Sheng ;   et al.
2020-02-06
Extreme Ultraviolet Lithography System
App 20200019070 - Weng; Ming-Hui ;   et al.
2020-01-16
Method For Forming Semiconductor Structure
App 20200013618 - WENG; Ming-Hui ;   et al.
2020-01-09
Extreme ultraviolet photoresist and method
Grant 10,527,941 - Liu , et al. J
2020-01-07
Method for manufacturing a semiconductor device and a coating material
Grant 10,529,552 - Chien , et al. J
2020-01-07
Method Of Manufacturing Semiconductor Devices
App 20200006121 - LIU; Ru-Gun ;   et al.
2020-01-02
Method Of Manufacturing Semiconductor Devices
App 20200006078 - LIU; Ru-Gun ;   et al.
2020-01-02
Pattern Formation Method Using A Photo Mask For Manufacturing A Semiconductor Device
App 20200004137 - LIU; Ru-Gun ;   et al.
2020-01-02
Lithography techniques for reducing resist swelling
Grant 10,520,822 - Weng , et al. Dec
2019-12-31
Negative tone developer for extreme ultraviolet lithography
Grant 10,520,820 - Liu , et al. Dec
2019-12-31
Lithography process with enhanced etch selectivity
Grant 10,520,821 - Liu , et al. Dec
2019-12-31
Extreme ultraviolet lithography system
Grant 10,520,833 - Weng , et al. Dec
2019-12-31
Extreme ultraviolet photoresist with high-efficiency electron transfer
Grant 10,520,813 - Lai , et al. Dec
2019-12-31
Method for forming vias and method for forming contacts in vias
Grant 10,515,847 - Lin , et al. Dec
2019-12-24
Lithography mask with both transmission-type and reflective-type overlay marks and method of fabricating the same
Grant 10,514,597 - Lin , et al. Dec
2019-12-24
Material composition and methods thereof
Grant 10,517,179 - Wang , et al. Dec
2019-12-24
Wafer alignment mark scheme
Grant 10,514,247 - Tseng , et al. Dec
2019-12-24
Methods of reducing pattern roughness in semiconductor fabrication
Grant 10,515,812 - Wang , et al. Dec
2019-12-24
Photoresist Composition And Method Of Forming Photoresist Pattern
App 20190384170 - ZI; An-Ren ;   et al.
2019-12-19
Extreme Ultraviolet Photoresist and Method
App 20190384172 - Chen; Yen-Hao ;   et al.
2019-12-19
Blocking Layer Material Composition and Methods Thereof in Semiconductor Manufacturing
App 20190384177 - Wang; Siao-Shan ;   et al.
2019-12-19
Photoresist Composition And Method Of Forming Photoresist Pattern
App 20190384171 - ZI; An-Ren ;   et al.
2019-12-19
Dummy fin structures and methods of forming same
Grant 10,510,580 - Lin , et al. Dec
2019-12-17
Photosensitive Groups in Resist Layer
App 20190371600 - Chang; Ya-Ching ;   et al.
2019-12-05
Layout Modification Method For Exposure Manufacturing Process
App 20190348409 - CHO; Hung-Wen ;   et al.
2019-11-14
Directional Processing To Remove A Layer Or A Material Formed Over A Substrate
App 20190341254 - HUANG; Shih-Chun ;   et al.
2019-11-07
Multi-Layer Photoresist
App 20190334028 - Zi; An-Ren ;   et al.
2019-10-31
Extreme ultraviolet photoresist and method
Grant 10,401,728 - Chen , et al. Sep
2019-09-03
Blocking layer material composition and methods thereof in semiconductor manufacturing
Grant 10,394,123 - Wang , et al. A
2019-08-27
Multi-layer photoresist
Grant 10,381,481 - Zi , et al. A
2019-08-13
Layout modification method for exposure manufacturing process
Grant 10,366,973 - Cho , et al. July 30, 2
2019-07-30
Directional processing to remove a layer or a material formed over a substrate
Grant 10,354,874 - Huang , et al. July 16, 2
2019-07-16
Material Composition And Process For Substrate Modification
App 20190206680 - LAI; Wei-Han ;   et al.
2019-07-04
Pellicle Fabrication Methods And Structures Thereof
App 20190204730 - LIN; Yun-Yue ;   et al.
2019-07-04
Lithography Mask With A Black Border Region And Method Of Fabricating The Same
App 20190196322 - Lin; Chin-Hsiang ;   et al.
2019-06-27
Method for forming semiconductor structure using modified resist layer
Grant 10,312,108 - Yang , et al.
2019-06-04
Method Of Controlling Reticle Masking Blade Positioning To Minimize Impact On Critical Dimension Uniformity And Device For Contr
App 20190163046 - CHO; Hung-Wen ;   et al.
2019-05-30
Method For Manufacturing A Semiconductor Device And A Coating Material
App 20190164746 - CHIEN; Yu-Ling Chang ;   et al.
2019-05-30
Method For Forming Semiconductor Structure
App 20190155156 - ZI; An-Ren ;   et al.
2019-05-23
Directional Deposition for Semiconductor Fabrication
App 20190157084 - Huang; Shih-Chun ;   et al.
2019-05-23
Lithography Process Using Photoresist Material With Photosensitive Functional Group
App 20190157073 - WENG; Ming-Hui ;   et al.
2019-05-23
Photoresist Composition And Method Of Forming Photoresist Pattern
App 20190146342 - ZI; An-Ren ;   et al.
2019-05-16
Fine Line Patterning Methods
App 20190148147 - Huang; Shih-Chun ;   et al.
2019-05-16
Directional Processing To Remove A Layer Or A Material Formed Over A Substrate
App 20190148145 - Huang; Shih-Chun ;   et al.
2019-05-16
Photoresist Developer And Method Of Developing Photoresist
App 20190146337 - ZI; An-Ren ;   et al.
2019-05-16
Method For Forming Semiconductor Structure
App 20190137883 - LIN; Li-Yen ;   et al.
2019-05-09
Method And Apparatus For Collecting Information Used In Image-error Compensation
App 20190137866 - CHO; Hung-Wen ;   et al.
2019-05-09
Layout Modification Method For Exposure Manufacturing Process
App 20190131290 - CHO; Hung-Wen ;   et al.
2019-05-02
Humidity control in EUV lithography
Grant 10,274,847 - Zi , et al.
2019-04-30
FinFETs and Methods of Forming FinFETs
App 20190123204 - Lin; Chin-Hsiang ;   et al.
2019-04-25
Method For Forming Semiconductor Structure
App 20190122881 - ZI; An-Ren ;   et al.
2019-04-25
FinFET low resistivity contact formation method
Grant 10,269,628 - Wang , et al.
2019-04-23
Method For Forming Vias And Method For Forming Contacts In Vias
App 20190103306 - LIN; Tzu-Yang ;   et al.
2019-04-04
Dummy Fin Structures And Methods Of Forming Same
App 20190103304 - Lin; Chin-Hsiang ;   et al.
2019-04-04
Humidity Control in EUV Lithography
App 20190094716 - Zi; An-Ren ;   et al.
2019-03-28
Method For Forming Semiconductor Structure
App 20190096675 - ZI; An-Ren ;   et al.
2019-03-28
Humidity Control In Euv Lithography
App 20190086818 - Zi; An-Ren ;   et al.
2019-03-21
Method For Performing A Photolithography Process
App 20190080901 - KO; Tsung-Han ;   et al.
2019-03-14
Semiconductor Method of Protecting Wafer from Bevel Contamination
App 20190064669 - Zi; An-Ren ;   et al.
2019-02-28
Method For Performing Lithography Process With Post Treatment
App 20190064673 - WENG; Ming-Hui ;   et al.
2019-02-28
Lithography Techniques for Reducing Resist Swelling
App 20190004430 - Weng; Ming-Hui ;   et al.
2019-01-03
FinFETs and methods of forming FinFETs
Grant 10,164,114 - Lin , et al. Dec
2018-12-25
Pellicle fabrication methods and structures thereof
Grant 10,162,258 - Lin , et al. Dec
2018-12-25
Method of test probe alignment control
Grant 10,161,965 - Chen , et al. Dec
2018-12-25
Method of semiconductor device fabrication having application of material with cross-linkable component
Grant 10,163,648 - Lai , et al. Dec
2018-12-25
Material composition and process for substrate modification
Grant 10,163,632 - Lai , et al. Dec
2018-12-25
Extreme Ultraviolet Photoresist and Method
App 20180348639 - Liu; Chen-Yu ;   et al.
2018-12-06
Negative Tone Developer For Extreme Ultraviolet Lithography
App 20180341177 - Liu; Chen-Yu ;   et al.
2018-11-29
Extreme Ultraviolet Photoresist and Method
App 20180341175 - Chen; Yen-Hao ;   et al.
2018-11-29
Method Of Semiconductor Device Fabrication Having Application Of Material With Cross-linkable Component
App 20180337058 - LAI; Wei-Han ;   et al.
2018-11-22
Grafting Design for Pattern Post-Treatment in Semiconductor Manufacturing
App 20180337044 - Wang; Siao-Shan ;   et al.
2018-11-22
Bottom-Up Material Formation for Planarization
App 20180337036 - Weng; Ming-Hui ;   et al.
2018-11-22
Blocking Layer Material Composition and Methods Thereof in Semiconductor Manufacturing
App 20180335697 - Wang; Siao-Shan ;   et al.
2018-11-22
Patterning process with silicon mask layer
Grant 10,115,592 - Liu , et al. October 30, 2
2018-10-30
Hardmask composition and methods thereof
Grant 10,115,585 - Liu , et al. October 30, 2
2018-10-30
Self-aligned dual-metal silicide and germanide formation
Grant 10,115,597 - Tsai , et al. October 30, 2
2018-10-30
Method For Forming Semiconductor Structure Using Modified Resist Layer
App 20180292752 - YANG; Li-Po ;   et al.
2018-10-11
FinFETs and Methods of Forming FinFETs
App 20180277681 - Lin; Chin-Hsiang ;   et al.
2018-09-27
Under Layer Composition And Method Of Manufacturing Semiconductor Device
App 20180277359 - Liu; Chen-Yu ;   et al.
2018-09-27
Under layer composition and method of manufacturing semiconductor device
Grant 10,083,832 - Liu , et al. September 25, 2
2018-09-25
Semiconductor method of protecting wafer from bevel contamination
Grant 10,073,347 - Zi , et al. September 11, 2
2018-09-11
Method and system for tool condition monitoring based on a simulated inline measurement
Grant 10,047,439 - Tsai , et al. August 14, 2
2018-08-14
Directional patterning methods
Grant 10,049,918 - Hung , et al. August 14, 2
2018-08-14
Extreme ultraviolet photoresist and method
Grant 10,042,252 - Chen , et al. August 7, 2
2018-08-07
Material Composition and Methods Thereof
App 20180177055 - Wang; Siao-Shan ;   et al.
2018-06-21
Hardmask Composition And Methods Thereof
App 20180174831 - LIU; Chen-Yu ;   et al.
2018-06-21
Pattern Fidelity Enhancement with Directional Patterning Technology
App 20180174853 - Shen; Yu-Tien ;   et al.
2018-06-21
Extreme Ultraviolet Photolithography Method With Developer Composition
App 20180173096 - Zi; An-Ren ;   et al.
2018-06-21
Extreme Ultraviolet Photoresist With High-Efficiency Electron Transfer
App 20180173101 - Lai; Wei-Han ;   et al.
2018-06-21
Pellicle Fabrication Methods And Structures Thereof
App 20180173092 - LIN; Yun-Yue ;   et al.
2018-06-21
Material Composition And Process For Substrate Modification
App 20180174837 - LAI; Wei-Han ;   et al.
2018-06-21
Material Composition And Methods Thereof
App 20180174830 - WANG; Siao-Shan ;   et al.
2018-06-21
Patterning Process with Silicon Mask Layer
App 20180174828 - Liu; Chen-Yu ;   et al.
2018-06-21
Resist Material And Method For Forming Semiconductor Structure Using Resist Layer
App 20180164684 - CHANG; Ya-Ching ;   et al.
2018-06-14
Lithography Process With Enhanced Etch Selectivity
App 20180149976 - Liu; Chen-Yu ;   et al.
2018-05-31
Extreme Ultraviolet Photoresist and Method
App 20180149971 - Chen; Yen-Hao ;   et al.
2018-05-31
Lithography Mask With Both Transmission-type And Reflective-type Overlay Marks And Method Of Fabricating The Same
App 20180149959 - Lin; Yun-Yue ;   et al.
2018-05-31
Finfets and Methods of Forming Finfets
App 20180151738 - Lin; Chin-Hsiang ;   et al.
2018-05-31
Photoresist with Gradient Composition for Improved Uniformity
App 20180151351 - Lilin; Chang ;   et al.
2018-05-31
FinFETs and methods of forming FinFETs
Grant 9,985,134 - Lin , et al. May 29, 2
2018-05-29
Formation method of semiconductor device structure using patterning stacks
Grant 9,978,594 - Lin , et al. May 22, 2
2018-05-22
Semiconductor film formation apparatus and process
Grant 9,976,215 - Chou , et al. May 22, 2
2018-05-22
Topographic Planarization Method For Lithography Process
App 20180138050 - LIN; Tzu-Yang ;   et al.
2018-05-17
Formation Method Of Semiconductor Device Structure Using Patterning Stacks
App 20180138034 - LIN; Li-Yen ;   et al.
2018-05-17
Wafer Alignment Mark Scheme
App 20180128597 - Tseng; Wei-Hsiang ;   et al.
2018-05-10
Method of identifying airborne molecular contamination source
Grant 9,958,424 - Chuang , et al. May 1, 2
2018-05-01
Directional Patterning Methods
App 20180090370 - Hung; Chi-Cheng ;   et al.
2018-03-29
Developer for lithography
Grant 9,927,707 - Liu , et al. March 27, 2
2018-03-27
Control System For Plasma Chamber Having Controllable Valve And Method Of Using The Same
App 20180073144 - SU; Yen-Shuo ;   et al.
2018-03-15
Method of making a finFET device
Grant 9,899,496 - Sun , et al. February 20, 2
2018-02-20
Wafer processing system using multi-zone chuck
Grant 9,892,954 - Cheng , et al. February 13, 2
2018-02-13
Multi-zone EPD detectors
Grant 9,887,071 - Chen , et al. February 6, 2
2018-02-06
Wafer alignment mark scheme
Grant 9,863,754 - Tseng , et al. January 9, 2
2018-01-09
Ion implantation with charge and direction control
Grant 9,865,429 - Hwang , et al. January 9, 2
2018-01-09
Plasma chamber having an upper electrode having controllable valves and a method of using the same
Grant 9,840,778 - Su , et al. December 12, 2
2017-12-12
Semiconductor devices and methods of manufacture thereof
Grant 9,818,603 - Lin , et al. November 14, 2
2017-11-14
Chemical treatment for lithography improvement in a negative tone development process
Grant 9,810,990 - Lai , et al. November 7, 2
2017-11-07
Ion beam dimension control for ion implantation process and apparatus, and advanced process control
Grant 9,805,913 - Hwang , et al. October 31, 2
2017-10-31
Plasma etcher design with effective no-damage in-situ ash
Grant 9,786,471 - Xiao , et al. October 10, 2
2017-10-10
Dispensing apparatus and dispensing method
Grant 9,776,216 - Yu , et al. October 3, 2
2017-10-03
Apparatus and methods for movable megasonic wafer probe
Grant 9,764,364 - Chang Chien , et al. September 19, 2
2017-09-19
Non-planar transistors and methods of fabrication thereof
Grant 9,735,276 - Tung , et al. August 15, 2
2017-08-15
Qualitative fault detection and classification system for tool condition monitoring and associated methods
Grant 9,727,049 - Ho , et al. August 8, 2
2017-08-08
Lithography apparatus having dual reticle edge masking assemblies and method of use
Grant 9,709,904 - Wu , et al. July 18, 2
2017-07-18
Developer For Lithography
App 20170199464 - Liu; Chen-Yu ;   et al.
2017-07-13
Real-time calibration for wafer processing chamber lamp modules
Grant 9,698,065 - Chang , et al. July 4, 2
2017-07-04
Method of patterning a film layer
Grant 9,684,236 - Hsieh , et al. June 20, 2
2017-06-20
FinFet Low Resistivity Contact Formation Method
App 20170170061 - Wang; Sung-Li ;   et al.
2017-06-15
Lithographic plane check for mask processing
Grant 9,671,685 - Lin , et al. June 6, 2
2017-06-06
Self-Aligned Dual-Metal Silicide and Germanide Formation
App 20170140942 - Tsai; Chun Hsiung ;   et al.
2017-05-18
Wafer alignment mark scheme
Grant 9,640,487 - Tseng , et al. May 2, 2
2017-05-02
Semiconductor device having elevated structure
Grant 9,601,587 - Sun , et al. March 21, 2
2017-03-21
Method of making wafer assembly
Grant 9,601,324 - Huang , et al. March 21, 2
2017-03-21
Contact structure of semiconductor device
Grant 9,589,838 - Wang , et al. March 7, 2
2017-03-07
Method of fabricating an integrated circuit device
Grant 9,564,509 - Yeh , et al. February 7, 2
2017-02-07
Self-aligned dual-metal silicide and germanide formation
Grant 9,559,182 - Wann , et al. January 31, 2
2017-01-31
Systems and associated methods for tuning processing tools
Grant 9,519,285 - Tsai , et al. December 13, 2
2016-12-13
Novel Chemical Treatment For Lithography Improvement In A Negative Tone Development Process
App 20160274463 - Lai; Wei-Han ;   et al.
2016-09-22
Systems and methods for fabricating and orienting semiconductor wafers
Grant 9,449,864 - Lin , et al. September 20, 2
2016-09-20
Method for monitoring ion implantation
Grant 9,449,889 - Chang , et al. September 20, 2
2016-09-20
Source/drain stressor having enhanced carrier mobility and method for manufacturing same
Grant 9,425,286 - Lin , et al. August 23, 2
2016-08-23
Methods for real-time error detection in CMP processing
Grant 9,403,254 - Hwang , et al. August 2, 2
2016-08-02
Semiconductor test structures
Grant 9,377,503 - Tu , et al. June 28, 2
2016-06-28
Methodology for implementing enhanced optical lithography for hole patterning in semiconductor fabrication
Grant 9,366,969 - Liu , et al. June 14, 2
2016-06-14
Metal conductor chemical mechanical polish
Grant 9,368,452 - Huang , et al. June 14, 2
2016-06-14
Systems and methods of controlling semiconductor wafer fabrication processes
Grant 9,368,379 - Chen , et al. June 14, 2
2016-06-14
Integrated circuit manufacturing tool condition monitoring system and method
Grant 9,349,660 - Tsai , et al. May 24, 2
2016-05-24
Method Of Planarizing A Wafer
App 20160136776 - LEE; Bo-I ;   et al.
2016-05-19
Self-Aligned Dual-Metal Silicide and Germanide Formation
App 20160099331 - Wann; Clement Hsingjen ;   et al.
2016-04-07
Automated material handling system and method for semiconductor manufacturing
Grant 9,305,815 - Tung , et al. April 5, 2
2016-04-05
Semiconductor device cleaning method and apparatus
Grant 9,299,593 - Yeh , et al. March 29, 2
2016-03-29
Multi coil target design
Grant 9,279,179 - Tsai , et al. March 8, 2
2016-03-08
Semiconductor test structures
Grant 9,250,286 - Tu , et al. February 2, 2
2016-02-02
Systems and methods of automatic boundary control for semiconductor processes
Grant 9,250,619 - Hsu , et al. February 2, 2
2016-02-02
Real-Time Calibration for Wafer Processing Chamber Lamp Modules
App 20160027708 - Chang; Chih-Tien ;   et al.
2016-01-28
CVD conformal vacuum/pumping guiding design
Grant 9,234,278 - Chou , et al. January 12, 2
2016-01-12
Integration of bottom-up metal film deposition
Grant 9,214,543 - Lin , et al. December 15, 2
2015-12-15
Self-aligned dual-metal silicide and germanide formation
Grant 9,214,556 - Wann , et al. December 15, 2
2015-12-15
Litho cluster and modulization to enhance productivity
Grant 9,196,515 - Huang , et al. November 24, 2
2015-11-24
Method Of Making Wafer Assembly
App 20150318165 - HUANG; I-Hsiung ;   et al.
2015-11-05
Contact Structure of Semiconductor Device
App 20150303106 - Wang; Sung-Li ;   et al.
2015-10-22
Method and structure for advanced semiconductor channel substrate materials
Grant 9,165,835 - Yeh , et al. October 20, 2
2015-10-20
Lithography Apparatus Having Dual Reticle Edge Masking Assemblies And Method Of Use
App 20150293462 - WU; Tung-Li ;   et al.
2015-10-15
Real-time calibration for wafer processing chamber lamp modules
Grant 9,159,597 - Chang , et al. October 13, 2
2015-10-13
2D/3D analysis for abnormal tools and stages diagnosis
Grant 9,158,867 - Lin , et al. October 13, 2
2015-10-13
Ion Beam Dimension Control For Ion Implantation Process And Apparatus, And Advanced Process Control
App 20150270103 - HWANG; Chih-Hong ;   et al.
2015-09-24
CMP pad cleaning apparatus
Grant 9,138,861 - Wu , et al. September 22, 2
2015-09-22
Semiconductor Devices and Methods of Manufacture Thereof
App 20150255581 - Lin; Wei-Chi ;   et al.
2015-09-10
Wafer assembly with carrier wafer
Grant 9,111,982 - Huang , et al. August 18, 2
2015-08-18
Contact structure of semiconductor device
Grant 9,105,490 - Wang , et al. August 11, 2
2015-08-11
Wafer Alignment Mark Scheme
App 20150219448 - TSENG; Wei-Hsiang ;   et al.
2015-08-06
Method for Monitoring Ion Implantation
App 20150221561 - Chang; Chun-Lin ;   et al.
2015-08-06
Method Of Making A Finfet Device
App 20150221751 - Sun; Sey-Ping ;   et al.
2015-08-06
Contact structure of semiconductor device
Grant 9,099,494 - Wang , et al. August 4, 2
2015-08-04
Contrast enhancing exposure system and method for use in semiconductor fabrication
Grant 9,091,923 - Liu , et al. July 28, 2
2015-07-28
Lithography apparatus having dual reticle edge masking assemblies and method of use
Grant 9,081,297 - Wu , et al. July 14, 2
2015-07-14
Method of Test Probe Alignment Control
App 20150192616 - Chen; Jui-Long ;   et al.
2015-07-09
Ion beam dimension control for ion implantation process and apparatus, and advanced process control
Grant 9,070,534 - Hwang , et al. June 30, 2
2015-06-30
Non-planar transistors and methods of fabrication thereof
Grant 9,054,194 - Tung , et al. June 9, 2
2015-06-09
Dispensing Apparatus And Dispensing Method
App 20150144161 - YU; Weibo ;   et al.
2015-05-28
Integrated System, Integrated System Operation Method And Film Treatment Method
App 20150147826 - Yu; Weibo ;   et al.
2015-05-28
Contact Structure of Semiconductor Device
App 20150140763 - Wang; Sung-Li ;   et al.
2015-05-21
Semiconductor Device Having Elevated Structure
App 20150129990 - SUN; Sey-Ping ;   et al.
2015-05-14
Method Of Fabricating An Integrated Circuit Device
App 20150118807 - YEH; Ming-Hsi ;   et al.
2015-04-30
Apparatus and Methods for Movable Megasonic Wafer Probe
App 20150107634 - Chang Chien; Ying-Hsueh ;   et al.
2015-04-23
Litho Cluster And Modulization To Enhance Productivity
App 20150076371 - Huang; I-Hsiung ;   et al.
2015-03-19
ION Implantation with Charge and Direction Control
App 20150069913 - Hwang; Chih-Hong ;   et al.
2015-03-12
Self-Aligned Dual-Metal Silicide and Germanide Formation
App 20150041918 - Wann; Clement Hsingjen ;   et al.
2015-02-12
Systems And Methods For Fabricating And Orienting Semiconductor Wafers
App 20150009499 - LIN; Chin-Ming ;   et al.
2015-01-08
Wafer Alignment Mark Scheme
App 20150002846 - TSENG; Wei-Hsiang ;   et al.
2015-01-01
Contact Structure of Semiconductor Device Priority Claim
App 20140363943 - Wang; Sung-Li ;   et al.
2014-12-11
Beam Monitoring Device, Method, and System
App 20140306119 - Hwang; Chih-Hong ;   et al.
2014-10-16
Method of Making a FinFET Device
App 20140213048 - Sun; Sey-Ping ;   et al.
2014-07-31
Wafer Processing System Using Multi-zone Chuck
App 20140202383 - CHENG; Nai-Han ;   et al.
2014-07-24
Semiconductor Test Structures
App 20140203282 - Tu; An-Chun ;   et al.
2014-07-24
Tool Optimizing Tuning Systems And Associated Methods
App 20140207271 - Tsai; Po-Feng ;   et al.
2014-07-24
Semiconductor Test Structures
App 20140206113 - Tu; An-Chun ;   et al.
2014-07-24
Metal Conductor Chemical Mechanical Polish
App 20140159243 - Huang; Soon-Kang ;   et al.
2014-06-12
N/P METAL CRYSTAL ORIENTATION FOR HIGH-K METAL GATE Vt MODULATION
App 20140154848 - Lin; Su-Horng ;   et al.
2014-06-05
Contact Structure of Semiconductor Device
App 20140124842 - Wang; Sung-Li ;   et al.
2014-05-08
Source/Drain Stressor Having Enhanced Carrier Mobility and Method for Manufacturing Same
App 20140113424 - Lin; Chin-Hsiang ;   et al.
2014-04-24
2D/3D Analysis for Abnormal Tools and Stages Diagnosis
App 20140100684 - Lin; Chun-Hsien ;   et al.
2014-04-10
Method Of Identifying Airborne Molecular Contamination Source
App 20140095083 - Chuang; Tzu-Sou ;   et al.
2014-04-03
Contact Structure Of Semiconductor Device
App 20140084340 - Wang; Sung-Li ;   et al.
2014-03-27
Qualitative Fault Detection And Classification System For Tool Condition Monitoring And Associated Methods
App 20140067324 - Ho; Chia-Tong ;   et al.
2014-03-06
Method of Optimizing Design for Manufacturing (DFM)
App 20140033159 - Hui; Keuing ;   et al.
2014-01-30
Finlike Structures And Methods Of Making Same
App 20140024187 - Yeh; Ming-Hsi ;   et al.
2014-01-23
Lithography Process
App 20140017604 - Lee; Yung-Yao ;   et al.
2014-01-16
Method Of Test Probe Alignment Control
App 20130335109 - Chen; Jui-Long ;   et al.
2013-12-19
Plasma Chamber Having An Upper Electrode Having Controllable Valves And A Method Of Using The Same
App 20130319612 - SU; Yen-Shuo ;   et al.
2013-12-05
Loadport Bridge For Semiconductor Fabrication Tools
App 20130322990 - CHEN; Shih-Hung ;   et al.
2013-12-05

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed