loadpatents
name:-0.1327919960022
name:-0.090204954147339
name:-0.080287933349609
Lee; Wei-Yang Patent Filings

Lee; Wei-Yang

Patent Applications and Registrations

Patent applications and USPTO patent grants for Lee; Wei-Yang.The latest application filed is for "semiconductor devices with enhanced carrier mobility".

Company Profile
77.91.127
  • Lee; Wei-Yang - Taipei City TW
  • Lee; Wei-Yang - Taipei TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Semiconductor Devices With Enhanced Carrier Mobility
App 20220310840 - Li; Ming-Shuan ;   et al.
2022-09-29
Nano-fet Semiconductor Device And Method Of Forming
App 20220310816 - Wong; I-Hsieh ;   et al.
2022-09-29
Air gap formation between gate spacer and epitaxy structure
Grant 11,456,295 - Lai , et al. September 27, 2
2022-09-27
Integrated circuit structure with backside dielectric layer having air gap
Grant 11,450,559 - Chang , et al. September 20, 2
2022-09-20
Inner spacer liner
Grant 11,444,178 - Yin , et al. September 13, 2
2022-09-13
Backside contact with air spacer
Grant 11,444,162 - Lee , et al. September 13, 2
2022-09-13
Semiconductor Device with Backside Contact and Methods of Forming Such
App 20220285510 - Chen; Ting-Yeh ;   et al.
2022-09-08
Source/drain Contact With Low-k Contact Etch Stop Layer And Method Of Fabricating Thereof
App 20220285513 - Chen; Ting-Yeh ;   et al.
2022-09-08
Semiconductor Devices With Modified Source/Drain Feature And Methods Thereof
App 20220285561 - Lai; Wei-Jen ;   et al.
2022-09-08
Semiconductor Structure With Gate-all-around Devices And Stacked Finfet Devices
App 20220262683 - Chu; Feng-Ching ;   et al.
2022-08-18
Semiconductor devices including backside vias and methods of forming the same
Grant 11,417,767 - Chang , et al. August 16, 2
2022-08-16
Backside Contact With Air Spacer
App 20220238659 - Lee; Chen-Ming ;   et al.
2022-07-28
Source/drain features
Grant 11,398,553 - Lin , et al. July 26, 2
2022-07-26
Transistors with Recessed Silicon Cap and Method Forming Same
App 20220223591 - Chen; Yen-Ting ;   et al.
2022-07-14
Methods Of Forming Epitaxial Source/Drain Features In Semiconductor Devices
App 20220223689 - Hsu; Tzu-Hsiang ;   et al.
2022-07-14
Semiconductor device having nanosheet transistor and methods of fabrication thereof
Grant 11,387,322 - Wang , et al. July 12, 2
2022-07-12
Method and structure for air gap inner spacer in gate-all-around devices
Grant 11,374,128 - Chen , et al. June 28, 2
2022-06-28
Semiconductor device and method
Grant 11,362,199 - Wong , et al. June 14, 2
2022-06-14
Method For Epitaxial Growth And Device
App 20220181469 - Hsu; Tzu-Hsiang ;   et al.
2022-06-09
Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
Grant 11,355,400 - Chen , et al. June 7, 2
2022-06-07
Source/drain Features
App 20220165848 - Lin; Ruei-Ping ;   et al.
2022-05-26
Inner Spacer Liner
App 20220157969 - Yin; Jin-Mu ;   et al.
2022-05-19
Semiconductor structure with gate-all-around devices and stacked FinFET devices
Grant 11,328,960 - Chu , et al. May 10, 2
2022-05-10
Semiconductor Device and Method
App 20220130730 - Yang; Cheng-Yu ;   et al.
2022-04-28
Semiconductor Device with Leakage Current Suppression and Method for Forming the Same
App 20220122893 - Lai; Bo-Yu ;   et al.
2022-04-21
Transistors with recessed silicon cap and method forming same
Grant 11,296,077 - Chen , et al. April 5, 2
2022-04-05
Methods of forming epitaxial source/drain features in semiconductor devices
Grant 11,289,574 - Hsu , et al. March 29, 2
2022-03-29
Semiconductor Structure With Gate-all-around Devices And Stacked Finfet Devices
App 20220093591 - Chu; Feng-Ching ;   et al.
2022-03-24
Semiconductor Device Having Nanosheet Transistor And Methods Of Fabrication Thereof
App 20220093743 - WANG; Chih-Ching ;   et al.
2022-03-24
FinFET Structure with Airgap and Method of Forming the Same
App 20220085185 - Yao; Chien Ning ;   et al.
2022-03-17
Method for epitaxial growth and device
Grant 11,257,928 - Hsu , et al. February 22, 2
2022-02-22
Source/drain features with an etch stop layer
Grant 11,217,490 - Chu , et al. January 4, 2
2022-01-04
Semiconductor device and method
Grant 11,217,486 - Yang , et al. January 4, 2
2022-01-04
Formation of dislocations in source and drain regions of FinFET devices
Grant 11,211,455 - Tsai , et al. December 28, 2
2021-12-28
Semiconductor device and method
Grant 11,205,597 - Tan , et al. December 21, 2
2021-12-21
Epitaxial Source/Drain Feature with Enlarged Lower Section Interfacing with Backside Via
App 20210391421 - Chu; Feng-Ching ;   et al.
2021-12-16
Semiconductor device with air-spacer
Grant 11,201,228 - Lee , et al. December 14, 2
2021-12-14
Method For Forming Different Types Of Devices
App 20210384198 - Chu; Feng-Ching ;   et al.
2021-12-09
Methods of Forming Epitaxial Structures in Fin-Like Field Effect Transistors
App 20210384081 - Chu; Feng-Ching ;   et al.
2021-12-09
Semiconductor Devices And Methods Of Forming The Same
App 20210376094 - Lin; Yen-Po ;   et al.
2021-12-02
Integrated Circuit Device with Source/Drain Barrier
App 20210376077 - Chu; Feng-Ching ;   et al.
2021-12-02
Semiconductor Devices Including Backside Vias and Methods of Forming the Same
App 20210376155 - Chang; Che-Lun ;   et al.
2021-12-02
FinFET structure with airgap and method of forming the same
Grant 11,189,706 - Yao , et al. November 30, 2
2021-11-30
Methods of reducing parasitic capacitance in multi-gate field-effect transistors
Grant 11,189,705 - Wong , et al. November 30, 2
2021-11-30
Selective Gate Air Spacer Formation
App 20210351277 - Yang; Chih-Hsin ;   et al.
2021-11-11
Integrated Circuit Structure With Backside Dielectric Layer Having Air Gap
App 20210343578 - Chang; Che-Lun ;   et al.
2021-11-04
FinFET semiconductor device having source/drain contact(s) separated by airgap spacer(s) from the gate stack(s) to reduce parasitic capacitance
Grant 11,152,486 - Yang , et al. October 19, 2
2021-10-19
Semiconductor Structure and Method for Semiconductor Device Fabrication with Improved Source Drain Epitaxy
App 20210296498 - Lee; Wei-Yang ;   et al.
2021-09-23
Method Of Forming Semiconductor Device
App 20210273101 - LEE; Wei-Yang ;   et al.
2021-09-02
Semiconductor Device With Epitaxial Bridge Feature And Methods Of Forming The Same
App 20210273114 - Chen; Ting-Yeh ;   et al.
2021-09-02
Cut EPI Process and Structures
App 20210272848 - Chu; Feng-Ching ;   et al.
2021-09-02
Method and Structure for Gate-All-Around Devices
App 20210273103 - Chen; Shih-Chiang ;   et al.
2021-09-02
Semiconductor Device with Air-Spacer
App 20210273071 - Lee; Wei-Yang ;   et al.
2021-09-02
Epitaxial Features Of Semiconductor Devices And Related Methods
App 20210273079 - CHU; Feng-Ching ;   et al.
2021-09-02
Methods of forming epitaxial structures in fin-like field effect transistors
Grant 11,107,735 - Chu , et al. August 31, 2
2021-08-31
Semiconductor device and manufacturing method thereof
Grant 11,107,734 - Lee , et al. August 31, 2
2021-08-31
Semiconductor Devices and Methods of Forming the Same
App 20210257261 - Chu; Feng-Ching ;   et al.
2021-08-19
Semiconductor Device and Method
App 20210257260 - Chu; Feng-Ching ;   et al.
2021-08-19
Finfet Structure With Airgap And Method Of Forming The Same
App 20210249519 - Yao; Chien Ning ;   et al.
2021-08-12
Integrated circuit device with source/drain barrier
Grant 11,088,245 - Chu , et al. August 10, 2
2021-08-10
Method for FinFET LDD Doping
App 20210242310 - Tsai; Chun Hsiung ;   et al.
2021-08-05
Methods of Forming Epitaxial Source/Drain Feautures in Semiconductor Devices
App 20210202699 - Hsu; Tzu-Hsiang ;   et al.
2021-07-01
Semiconductor Device And Methods Of Manufacture
App 20210193534 - Chen; Yen-Ting ;   et al.
2021-06-24
Structure of a Fin Field Effect Transistor (FinFET)
App 20210184019 - Lee; Wei-Yang ;   et al.
2021-06-17
Semiconductor structure with improved source drain epitaxy
Grant 11,031,498 - Lee , et al. June 8, 2
2021-06-08
Elongated source/drain region structure in finFET device
Grant 11,011,634 - Lee , et al. May 18, 2
2021-05-18
Semiconductor Device with Funnel Shape Spacer and Methods of Forming The Same
App 20210143069 - Yang; Cheng-Yu ;   et al.
2021-05-13
Semiconductor Device and Method of Manufacture
App 20210126104 - Chen; Yen-Ting ;   et al.
2021-04-29
Method for FinFET LDD doping
Grant 10,991,800 - Tsai , et al. April 27, 2
2021-04-27
Using a Metal-Containing Layer as an Etching Stop Layer and to Pattern Source/Drain Regions of a FinFET
App 20210118744 - Chen; Yen-Ting ;   et al.
2021-04-22
Air Gap Formation Between Gate Spacer And Epitaxy Structure
App 20210118749 - LAI; Bo-Yu ;   et al.
2021-04-22
Method For Forming Semiconductor Device Structure With Cap Layer
App 20210119049 - CHU; Feng-Ching ;   et al.
2021-04-22
Semiconductor Structure With Blocking Layer
App 20210119037 - LI; Kun-Mu ;   et al.
2021-04-22
Integrated Circuit with Sidewall Spacers for Gate Spacers
App 20210111265 - Chen; Yen-Ting ;   et al.
2021-04-15
Finfet Having Non-merging Epitaxially Grown Source/drains
App 20210082925 - Chang; Chun Po ;   et al.
2021-03-18
Structure of a fin field effect transistor (FinFET)
Grant 10,937,894 - Lee , et al. March 2, 2
2021-03-02
Methods of Reducing Parasitic Capacitance in Multi-Gate Field-Effect Transistors
App 20210036122 - Wong; I-Hsieh ;   et al.
2021-02-04
Semiconductor Devices with Reduced Parasitic Capacitance
App 20210020757 - Yang; Cheng-Yu ;   et al.
2021-01-21
Dielectric Inner Spacers in Multi-Gate Field-Effect Transistors
App 20200411667 - Wong; I-Hsieh ;   et al.
2020-12-31
Hybrid SRAM Design with Nano-Structures
App 20200411530 - Wong; I-Hsieh ;   et al.
2020-12-31
Method for forming semiconductor device structure with cap layer
Grant 10,879,395 - Chu , et al. December 29, 2
2020-12-29
Semiconductor component and fabricating method thereof
Grant 10,872,889 - Chen , et al. December 22, 2
2020-12-22
Semiconductor Device with Funnel Shape Spacer and Methods of Forming The Same
App 20200395465 - Yang; Cheng-Yu ;   et al.
2020-12-17
Semiconductor device and method of manufacture
Grant 10,868,130 - Chen , et al. December 15, 2
2020-12-15
Semiconductor device with funnel shape spacer and methods of forming the same
Grant 10,867,870 - Yang , et al. December 15, 2
2020-12-15
Semiconductor structure with blocking layer and method for forming the same
Grant 10,868,181 - Li , et al. December 15, 2
2020-12-15
Integrated Circuit Device with Source/Drain Barrier
App 20200388677 - Chu; Feng-Ching ;   et al.
2020-12-10
Air gap formation between gate spacer and epitaxy structure
Grant 10,861,753 - Lai , et al. December 8, 2
2020-12-08
Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
Grant 10,861,749 - Chen , et al. December 8, 2
2020-12-08
Source/Drain Features with an Etch Stop Layer
App 20200381310 - Chu; Feng-Ching ;   et al.
2020-12-03
FinFET having non-merging epitaxially grown source/drains
Grant 10,854,615 - Chang , et al. December 1, 2
2020-12-01
Integrated circuit with doped low-k sidewall spacers for gate stacks
Grant 10,854,726 - Chen , et al. December 1, 2
2020-12-01
Formation of Dislocations in Source and Drain Regions of FinFET Devices
App 20200350404 - Tsai; Chun Hsiung ;   et al.
2020-11-05
PMOS FinFET
App 20200343383 - Lee; Wei-Yang ;   et al.
2020-10-29
Method of forming integrated circuit with low-k sidewall spacers for gate stacks
Grant 10,770,354 - Chen , et al. Sep
2020-09-08
Integrated circuit device with source/drain barrier
Grant 10,756,171 - Chu , et al. A
2020-08-25
Source/drain features with an etch stop layer
Grant 10,748,820 - Chu , et al. A
2020-08-18
Formation of dislocations in source and drain regions of finFET devices
Grant 10,741,642 - Tsai , et al. A
2020-08-11
Semiconductor Structure and Method for Semiconductor Device Fabrication with Improved Source Drain Epitaxy
App 20200251594 - Kind Code
2020-08-06
PMOS FinFET
Grant 10,714,619 - Lee , et al.
2020-07-14
Semiconductor Device and Method
App 20200176591 - Wong; I-Hsieh ;   et al.
2020-06-04
Method for Epitaxial Growth and Device
App 20200168723 - Hsu; Tzu-Hsiang ;   et al.
2020-05-28
Transistors with Recessed Silicon Cap and Method Forming Same
App 20200161297 - Chen; Yen-Ting ;   et al.
2020-05-21
Structure of a Fin Field Effect Transistor (FinFET)
App 20200152775 - Lee; Wei-Yang ;   et al.
2020-05-14
Method For Forming Semiconductor Device Structure With Cap Layer
App 20200144423 - CHU; Feng-Ching ;   et al.
2020-05-07
Air Gap Formation Between Gate Spacer And Epitaxy Structure
App 20200135590 - LAI; Bo-Yu ;   et al.
2020-04-30
Semiconductor Device And Method
App 20200135574 - Yang; Cheng-Yu ;   et al.
2020-04-30
Semiconductor Device and Method of Manufacture
App 20200135880 - Chen; Yen-Ting ;   et al.
2020-04-30
Source/Drain Features with an Etch Stop Layer
App 20200126869 - Chu; Feng-Ching ;   et al.
2020-04-23
Semiconductor Device with Air-Spacer
App 20200127110 - Lee; Wei-Yang ;   et al.
2020-04-23
Semiconductor structure and method for semiconductor device fabrication with improved source drain epitaxy
Grant 10,629,736 - Lee , et al.
2020-04-21
Semiconductor Device and Method
App 20200105620 - Tan; Wei-Chun ;   et al.
2020-04-02
Methods of Forming Epitaxial Structures in Fin-Like Field Effect Transistors
App 20200098644 - Chu; Feng-Ching ;   et al.
2020-03-26
Air Spacer For A Gate Structure Of A Transistor
App 20200098886 - Liu; Yi-Hsiu ;   et al.
2020-03-26
Integrated Circuit with Sidewall Spacers for Gate Stacks
App 20200075420 - Chen; Yen-Ting ;   et al.
2020-03-05
Using a Metal-Containing Layer as an Etching Stop Layer and to Pattern Source/Drain Regions of a FinFET
App 20200043804 - Chen; Yen-Ting ;   et al.
2020-02-06
Semiconductor Device And Manufacturing Method Thereof
App 20200027793 - LEE; Wei-Yang ;   et al.
2020-01-23
Structure of a fin field effect transistor (FinFET)
Grant 10,535,757 - Lee , et al. Ja
2020-01-14
Methods of Forming Metal Gate Spacer
App 20200006158 - Chen; Yen-Ting ;   et al.
2020-01-02
Source/drain features with an etch stop layer
Grant 10,522,420 - Chu , et al. Dec
2019-12-31
Finfet semiconductor device structure with capped source drain structures
Grant 10,522,680 - Chu , et al. Dec
2019-12-31
Semiconductor device with air-spacer
Grant 10,522,642 - Lee , et al. Dec
2019-12-31
Methods of forming epitaxial structures in fin-like field effect transistors
Grant 10,497,628 - Chu , et al. De
2019-12-03
Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
Grant 10,453,753 - Chen , et al. Oc
2019-10-22
Source/Drain Features with an Etch Stop Layer
App 20190311957 - Chu; Feng-Ching ;   et al.
2019-10-10
Finfet Having Non-merging Epitaxially Grown Source/drains
App 20190304984 - Chang; Chun Po ;   et al.
2019-10-03
Method for FinFET LDD Doping
App 20190288067 - Tsai; Chun Hsiung ;   et al.
2019-09-19
Source/drain features with an etch stop layer
Grant 10,403,551 - Chu , et al. Sep
2019-09-03
Method for FinFET LDD doping
Grant 10,396,156 - Tsai , et al. A
2019-08-27
Buffer layer on semiconductor devices
Grant 10,374,055 - Hou , et al.
2019-08-06
Method for FinFET LDD Doping
App 20190237543 - Tsai; Chun Hsiung ;   et al.
2019-08-01
Structure Of A Fin Field Effect Transistor (finfet)
App 20190198646 - Lee; Wei-Yang ;   et al.
2019-06-27
Methods of Forming Epitaxial Structures in Fin-Like Field Effect Transistors
App 20190157162 - Chu; Feng-Ching ;   et al.
2019-05-23
Integrated Circuit with Sidewall Spacers for Gate Stacks
App 20190148501 - Chen; Yen-Ting ;   et al.
2019-05-16
Source/Drain Features with an Etch Stop Layer
App 20190139836 - Chu; Feng-Ching ;   et al.
2019-05-09
Integrated Circuit Device with Source/Drain Barrier
App 20190131392 - Chu; Feng-Ching ;   et al.
2019-05-02
Method for Semiconductor Device Fabrication with Improved Source Drain Epitaxy
App 20190123200 - Lee; Wei-Yang ;   et al.
2019-04-25
Formation of Dislocations in Source and Drain Regions of FinFET Devices
App 20190115428 - Tsai; Chun Hsiung ;   et al.
2019-04-18
Semiconductor Structure With Blocking Layer And Method For Forming The Same
App 20190097006 - LI; Kun-Mu ;   et al.
2019-03-28
Finfet Semiconductor Device Structure With Capped Source Drain Structures
App 20190067478 - CHU; Feng-Ching ;   et al.
2019-02-28
Using A Metal-containing Layer As An Etching Stop Layer And To Pattern Source/drain Regions Of A Finfet
App 20190067126 - Chen; Yen-Ting ;   et al.
2019-02-28
Integrated circuit device with source/drain barrier
Grant 10,217,815 - Chu , et al. Feb
2019-02-26
Semiconductor structure and method for semiconductor device fabrication with improved source drain epitaxy
Grant 10,158,017 - Lee , et al. Dec
2018-12-18
Low-K dielectric sidewall spacer treatment
Grant 10,158,000 - Lee , et al. Dec
2018-12-18
Source/drain structure of a fin field effect transistor (FinFET)
Grant 10,158,006 - Lee , et al. Dec
2018-12-18
Semiconductor device and manufacturing method thereof
Grant 10,158,007 - Lee , et al. Dec
2018-12-18
Formation of dislocations in source and drain regions of FinFET devices
Grant 10,153,344 - Tsai , et al. Dec
2018-12-11
PMOS Finfet
App 20180337282 - Lee; Wei-Yang ;   et al.
2018-11-22
PMOS FinFET
Grant 10,134,902 - Lee , et al. November 20, 2
2018-11-20
PMOS FinFET
App 20180175200 - Lee; Wei-Yang ;   et al.
2018-06-21
Semiconductor Device with Air-Spacer
App 20180166553 - Lee; Wei-Yang ;   et al.
2018-06-14
Elongated Source/drain Region Structure In Finfet Device
App 20180151731 - Lee; Wei-Yang ;   et al.
2018-05-31
Semiconductor Component And Fabricating Method Thereof
App 20180138172 - CHEN; Ting-Yeh ;   et al.
2018-05-17
Semiconductor Device And Manufacturing Method Thereof
App 20180102292 - LEE; Wei-Yang ;   et al.
2018-04-12
Semiconductor Device And Manufacturing Method Thereof
App 20180047833 - LEE; Wei-Yang ;   et al.
2018-02-15
Semiconductor device and manufacturing method thereof
Grant 9,865,504 - Lee , et al. January 9, 2
2018-01-09
Formation of Dislocations in Source and Drain Regions of FinFET Devices
App 20180006117 - Tsai; Chun Hsiung ;   et al.
2018-01-04
Method for Semiconductor Device Fabrication with Improved Source Drain Epitaxy
App 20170373189 - Lee; Wei-Yang ;   et al.
2017-12-28
Semiconductor device and manufacturing method thereof
Grant 9,812,576 - Lee , et al. November 7, 2
2017-11-07
Formation of dislocations in source and drain regions of FinFET devices
Grant 9,768,256 - Tsai , et al. September 19, 2
2017-09-19
Semiconductor Device And Manufacturing Method Thereof
App 20170256639 - LEE; Wei-Yang ;   et al.
2017-09-07
Semiconductor Device And Manufacturing Method Thereof
App 20170256456 - LEE; Wei-Yang ;   et al.
2017-09-07
Method for semiconductor device fabrication with improved source drain epitaxy
Grant 9,748,389 - Lee , et al. August 29, 2
2017-08-29
FinFET and method for manufacturing the same
Grant 9,741,831 - Lee , et al. August 22, 2
2017-08-22
Source/Drain Structure of Semiconductor Device
App 20170110558 - Lee; Wei-Yang ;   et al.
2017-04-20
Semiconductor device and manufacturing method thereof
Grant 9,570,556 - Lee , et al. February 14, 2
2017-02-14
Source/drain structure of semiconductor device
Grant 9,537,008 - Lee , et al. January 3, 2
2017-01-03
Formation of Dislocations in Source and Drain Regions of FinFET Devices
App 20160204229 - Tsai; Chun Hsiung ;   et al.
2016-07-14
Finfet And Method For Manufacturing The Same
App 20160163820 - LEE; Wei-Yang ;   et al.
2016-06-09
Finfet And Method Of Manufacturing The Same
App 20160149040 - LEE; Wei-Yang ;   et al.
2016-05-26
FinFET and method of manufacturing the same
Grant 9,343,575 - Lee , et al. May 17, 2
2016-05-17
Formation of dislocations in source and drain regions of FinFET devices
Grant 9,293,534 - Tsai , et al. March 22, 2
2016-03-22
FinFET and method for manufacturing the same
Grant 9,287,403 - Lee , et al. March 15, 2
2016-03-15
Semiconductor substructure having elevated strain material-sidewall interface and method of making the same
Grant 9,263,578 - Lee , et al. February 16, 2
2016-02-16
Method of fabricating a gate dielectric layer
Grant 9,263,546 - Lee , et al. February 16, 2
2016-02-16
Source/Drain Structure of Semiconductor Device
App 20150357469 - Lee; Wei-Yang ;   et al.
2015-12-10
Formation of Dislocations in Source and Drain Regions of FinFET Devices
App 20150270342 - Tsai; Chun Hsiung ;   et al.
2015-09-24
FinFET and method of manufacturing the same
Grant 9,129,988 - Lee , et al. September 8, 2
2015-09-08
Source/drain structure of semiconductor device
Grant 9,112,033 - Lee , et al. August 18, 2
2015-08-18
Semiconductor Substructure Having Elevated Strain Material-sidewall Interface And Method Of Making The Same
App 20150228791 - LEE; Wei-Yang ;   et al.
2015-08-13
Source/Drain Structure of Semiconductor Device
App 20150187943 - Lee; Wei-Yang ;   et al.
2015-07-02
Low-k Dielectric Sidewall Spacer Treatment
App 20150145073 - LEE; WEI-YANG ;   et al.
2015-05-28
Method Of Fabricating A Gate Dielectric Layer
App 20150140765 - LEE; Wei-Yang ;   et al.
2015-05-21
Semiconductor substructure having elevated strain material-sidewall interface and method of making the same
Grant 9,029,912 - Lee , et al. May 12, 2
2015-05-12
High temperature anneal for stress modulation
Grant 8,962,477 - Chan , et al. February 24, 2
2015-02-24
Gate dielectric layer having interfacial layer and high-K dielectric over the interfacial layer
Grant 8,952,458 - Lee , et al. February 10, 2
2015-02-10
Buffer Layer On Semiconductor Devices
App 20140291777 - HOU; Cheng-Hao ;   et al.
2014-10-02
Semiconductor Substructure Having Elevated Strain Material-sidewall Interface And Method Of Making The Same
App 20140197455 - LEE; Wei-Yang ;   et al.
2014-07-17
Method of forming a buffer layer
Grant 8,765,603 - Hou , et al. July 1, 2
2014-07-01
Method for fabricating a gate dielectric layer
Grant 8,580,698 - Lee , et al. November 12, 2
2013-11-12
Stress modulation for metal gate semiconductor device
Grant 8,530,294 - Lee , et al. September 10, 2
2013-09-10
Stress Modulation For Metal Gate Semiconductor Device
App 20130102142 - Lee; Wei-Yang ;   et al.
2013-04-25
High Temperature Anneal For Stress Modulation
App 20130040455 - CHAN; Meng-Hsuan ;   et al.
2013-02-14
Buffer Layer And Method Of Forming Buffer Layer
App 20130032900 - HOU; Cheng-Hao ;   et al.
2013-02-07
Method of fabricating a plurality of gate structures
Grant 8,334,198 - Chen , et al. December 18, 2
2012-12-18
Method of fabricating high-k/metal gate device
Grant 8,334,197 - Lee , et al. December 18, 2
2012-12-18
Method Of Fabricating A Plurality Of Gate Structures
App 20120264281 - CHEN; Jian-Hao ;   et al.
2012-10-18
Method Of Fabricating A Gate Dielectric Layer
App 20120261758 - LEE; Wei-Yang ;   et al.
2012-10-18
Method to form a semiconductor device having gate dielectric layers of varying thickness
Grant 8,283,222 - Hsu , et al. October 9, 2
2012-10-09
Method To Form A Semiconductor Device Having Gate Dielectric Layers Of Varying Thickness
App 20110306196 - Hsu; Kuang-Yuan ;   et al.
2011-12-15
Multiple Deposition, Multiple Treatment Dielectric Layer For A Semiconductor Device
App 20110256682 - Yu; Xiong-Fei ;   et al.
2011-10-20
Method For Fabricating A Gate Dielectric Layer
App 20110256731 - LEE; Wei-Yang ;   et al.
2011-10-20
Method to form a semiconductor device having gate dielectric layers of varying thicknesses
Grant 8,008,143 - Hsu , et al. August 30, 2
2011-08-30
Method To Form A Semiconductor Device Having Gate Dielectric Layers Of Varying Thicknesses
App 20110159678 - Hsu; Kuang-Yuan ;   et al.
2011-06-30
Method Of Fabricating High-k/metal Gate Device
App 20110143529 - Lee; Da-Yuan ;   et al.
2011-06-16
Direct injection-locked frequency divider circuit with inductive-coupling feedback architecture
Grant 7,671,640 - Lee , et al. March 2, 2
2010-03-02
Negative-feedback type ultra-wideband signal amplification circuit
Grant 7,622,995 - Lee , et al. November 24, 2
2009-11-24
Inductance-switchable Dual-band Voltage Controlled Oscillation Circuit
App 20090189706 - Lee; Wei-Yang ;   et al.
2009-07-30
Negative-feedback Type Ultra-wideband Signal Amplification Circuit
App 20090184769 - Lee; Wei-Yang ;   et al.
2009-07-23
Direct Injection-locked Frequency Divider Circuit With Inductive-coupling Feedback Architecture
App 20090102520 - Lee; Wei-Yang ;   et al.
2009-04-23

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed