loadpatents
name:-0.05494213104248
name:-0.02895712852478
name:-0.013715982437134
Lee; Changhun Patent Filings

Lee; Changhun

Patent Applications and Registrations

Patent applications and USPTO patent grants for Lee; Changhun.The latest application filed is for "battery module and battery pack including the same".

Company Profile
12.25.43
  • Lee; Changhun - Daejeon KR
  • LEE; Changhun - San Jose CA
  • LEE; Changhun - Suwon-si KR
  • LEE; Changhun - Yongin-si KR
  • Lee; Changhun - Gyeonggi-do KR
  • Lee; Changhun - Hwaseong-si KR
  • LEE; Changhun - Incheon KR
  • Lee; ChangHun - Seoul KR
  • Lee; Changhun - Ansan-si KR
  • Lee; Changhun - Cupertino CA
  • Lee; Changhun - Fremont CA
  • Lee; Changhun - Coralville IA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Battery Module and Battery Pack Including the Same
App 20220302540 - Lee; Changhun ;   et al.
2022-09-22
Ash Rate Recovery Method In Plasma Strip Chamber
App 20220293395 - KIM; Yongkwan ;   et al.
2022-09-15
Battery Module And Manufacturing Method Thereof
App 20220247011 - LEE; Changhun ;   et al.
2022-08-04
Battery Module And Battery Pack Including The Same
App 20220247009 - LEE; Changhun ;   et al.
2022-08-04
Battery Module and Battery Pack Including the Same
App 20220238964 - Lee; Changhun ;   et al.
2022-07-28
Electronic Device For Controlling Communication Function, And Operating Method Therefor
App 20220240170 - PARK; Youngkyu ;   et al.
2022-07-28
Battery Module and Battery Pack Including the Same
App 20220231367 - Lee; Changhun ;   et al.
2022-07-21
Battery Module And Battery Pack Including The Same
App 20220223939 - Lee; Changhun ;   et al.
2022-07-14
Display Apparatus
App 20210384467 - PARK; Kyunghae ;   et al.
2021-12-09
Substrate support pedestal
Grant D931,240 - Lee , et al. September 21, 2
2021-09-21
Processing chamber with substrate edge enhancement processing
Grant 11,094,511 - Lee , et al. August 17, 2
2021-08-17
Wafer based corrosion and time dependent chemical effects
Grant 11,088,000 - Tedeschi , et al. August 10, 2
2021-08-10
Method for transmitting carrier combination for carrier aggregation and electronic device therefor
Grant 10,959,228 - Kim , et al. March 23, 2
2021-03-23
Low Contact Area Substrate Support For Etching Chamber
App 20210035851 - LEE; CHANGHUN ;   et al.
2021-02-04
Display apparatus including a plurality of banks and a method of manufacturing the same
Grant 10,892,309 - Kim , et al. January 12, 2
2021-01-12
Sensors And System For In-situ Edge Ring Erosion Monitor
App 20200335368 - PAN; Yaoling ;   et al.
2020-10-22
Display Apparatus Including A Plurality Of Banks And A Method Of Manufacturing The Same
App 20200335562 - KIM; Taehoon ;   et al.
2020-10-22
Method and apparatus for reducing particle defects in plasma etch chambers
Grant 10,658,161 - Wang , et al.
2020-05-19
Processing Chamber With Substrate Edge Enhancement Processing
App 20200152431 - LEE; Changhun ;   et al.
2020-05-14
Substrate Processing Chamber Component Assembly With Plasma Resistant Seal
App 20200152425 - FIROUZDOR; Vahid ;   et al.
2020-05-14
Wafer Based Corrosion & Time Dependent Chemical Effects
App 20200118896 - Tedeschi; Leonard ;   et al.
2020-04-16
Wafer based corrosion and time dependent chemical effects
Grant 10,515,862 - Tedeschi , et al. Dec
2019-12-24
Method And Apparatus For Reducing Particle Defects In Plasma Etch Chambers
App 20190295826 - Wang; Xikun ;   et al.
2019-09-26
Method For Transmitting Carrier Combination For Carrier Aggregation And Electronic Device Therefor
App 20190281604 - KIM; Kwanghee ;   et al.
2019-09-12
Liquid crystal display device
Grant 10,288,916 - Lee , et al.
2019-05-14
Methods of non-destructive post tungsten etch residue removal
Grant 10,217,627 - Lu , et al. Feb
2019-02-26
Wafer Based Corrosion & Time Dependent Chemical Effects
App 20180294200 - TEDESCHI; Leonard ;   et al.
2018-10-11
Endpoint Gas Line Filter For Substrate Processing Equipment
App 20180122655 - FIROUZDOR; Vahid ;   et al.
2018-05-03
Substrate placement detection in semiconductor equipment using thermal response characteristics
Grant 9,885,567 - Lee , et al. February 6, 2
2018-02-06
Substrate Processing Chamber Component Assembly With Plasma Resistant Seal
App 20180019104 - FIROUZDOR; Vahid ;   et al.
2018-01-18
Liquid Crystal Display Device
App 20180004025 - LEE; Mihwa ;   et al.
2018-01-04
Method For Manufacuring Amorphous Alloy Film And Method For Manufacturing Nanostructured Film Comprising Nitorgen
App 20160289813 - SHIN; Seungyong ;   et al.
2016-10-06
Zr-group Amorphous Alloy Composition
App 20160177430 - SHIN; Seungyong ;   et al.
2016-06-23
Cutting apparatus for joint cutting system using robot
Grant 9,339,345 - Song , et al. May 17, 2
2016-05-17
Single Ring Design For High Yield, Substrate Extreme Edge Defect Reduction In Icp Plasma Processing Chamber
App 20160099162 - NG; Siu Tang ;   et al.
2016-04-07
Crystalline Alloy Having Glass Forming Ability, Method For Manufacturing Same, Alloy Target For Sputtering, And Method For Manufacturing Same
App 20160076137 - SHIN; Seungyong ;   et al.
2016-03-17
Plasma generation source employing dielectric conduit assemblies having removable interfaces and related assemblies and methods
Grant 9,155,184 - Ng , et al. October 6, 2
2015-10-06
Plasma Generation Source Employing Dielectric Conduit Assemblies Having Removable Interfaces And Related Assemblies And Methods
App 20150137681 - NG; Siu Tang ;   et al.
2015-05-21
Method Of Non-destructive Post Tungsten Etch Residue Removal
App 20150096589 - LU; Danny Chien ;   et al.
2015-04-09
Substrate Placement Detection In Semiconductor Equipment Using Thermal Response Characteristics
App 20150063405 - LEE; JARED AHMAD ;   et al.
2015-03-05
Post Etch Treatment Technology For Enhancing Plasma-etched Silicon Surface Stability In Ambient
App 20150064880 - KIM; Jun Wan ;   et al.
2015-03-05
Semiconductor device including metal-oxide-semiconductor field effect transistors and methods of fabricating the same
Grant 8,927,367 - Jeong , et al. January 6, 2
2015-01-06
Low sloped edge ring for plasma processing chamber
Grant 8,771,423 - Lee , et al. July 8, 2
2014-07-08
Cutting Apparatus For Joint Cutting System Using Robot
App 20140155911 - SONG; Chang Hun ;   et al.
2014-06-05
Semiconductor Device Including Metal-oxide-semiconductor Field Effect Transistors And Methods Of Fabricating The Same
App 20130224920 - JEONG; Yongsang ;   et al.
2013-08-29
Control System And Method Of Radar And Vision Systems For Vehicle Applications
App 20130110355 - CHO; Dong-il ;   et al.
2013-05-02
Low Sloped Edge Ring For Plasma Processing Chamber
App 20130032478 - Lee; Changhun ;   et al.
2013-02-07
Low sloped edge ring for plasma processing chamber
Grant 8,287,650 - Lee , et al. October 16, 2
2012-10-16
Methods of forming a semiconductor device having a contact structure
Grant 8,278,180 - Lee , et al. October 2, 2
2012-10-02
Method And Apparatus For Reducing Particle Defects In Plasma Etch Chambers
App 20120091095 - WANG; Xikun ;   et al.
2012-04-19
Method for controlling corrosion of a substrate
Grant 8,101,025 - Lim , et al. January 24, 2
2012-01-24
Television receiver
Grant D642,145 - Kim , et al. July 26, 2
2011-07-26
Methods of Forming a Semiconductor Device Having a Contact Structure
App 20110151658 - Lee; Changhun ;   et al.
2011-06-23
Television receiver
Grant D637,169 - Kim , et al. May 3, 2
2011-05-03
Hydrogen ashing enhanced with water vapor and diluent gas
Grant 7,807,579 - Yang , et al. October 5, 2
2010-10-05
Low Sloped Edge Ring For Plasma Processing Chamber
App 20100059181 - Lee; Changhun ;   et al.
2010-03-11
Methods For Adjusting Critical Dimension Uniformity In An Etch Process With A Highly Concentrated Unsaturated Hydrocarbon Gas
App 20100003828 - Ding; Guowen ;   et al.
2010-01-07
Methods For Extending Chamber Component Life Time
App 20090163033 - DING; GUOWEN ;   et al.
2009-06-25
Etch System
App 20090139657 - LEE; CHANGHUN ;   et al.
2009-06-04
Hydrogen Ashing Enhanced With Water Vapor And Diluent Gas
App 20080261405 - Yang; Chan-Syun ;   et al.
2008-10-23
Method for controlling corrosion of a substrate
App 20060137710 - Lim; Eu Jin ;   et al.
2006-06-29
Method for fabricating an interconnect line
Grant 6,767,821 - Yang , et al. July 27, 2
2004-07-27
Method and composition for dry etching in semiconductor fabrication
Grant 6,080,680 - Lee , et al. June 27, 2
2000-06-27
Methods and apparatus for passivating a substrate in a plasma reactor
Grant 5,968,275 - Lee , et al. October 19, 1
1999-10-19
Apparatus and method of fabricating directional fiber optic taps, sensors and other devices with variable angle output
Grant 5,500,913 - Allen , et al. March 19, 1
1996-03-19

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed