loadpatents
name:-0.12720704078674
name:-0.080866098403931
name:-0.016463994979858
Kim; Bok Hoen Patent Filings

Kim; Bok Hoen

Patent Applications and Registrations

Patent applications and USPTO patent grants for Kim; Bok Hoen.The latest application filed is for "ultra-high modulus and etch selectivity boron-carbon hardmask films".

Company Profile
16.81.114
  • Kim; Bok Hoen - San Jose CA
  • Kim; Bok Hoen - US
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
Grant 11,365,476 - Jha , et al. June 21, 2
2022-06-21
Plasma processing using multiple radio frequency power feeds for improved uniformity
Grant 11,276,562 - Ye , et al. March 15, 2
2022-03-15
Ultra-high Modulus And Etch Selectivity Boron-carbon Hardmask Films
App 20210225650 - KULSHRESHTHA; Prashant Kumar ;   et al.
2021-07-22
Ultra-high modulus and etch selectivity boron carbon hardmask films
Grant 10,971,364 - Kulshreshtha , et al. April 6, 2
2021-04-06
Pecvd Process
App 20200399756 - RAJAGOPALAN; Nagarajan ;   et al.
2020-12-24
PECVD process
Grant 10,793,954 - Rajagopalan , et al. October 6, 2
2020-10-06
Plasma Processing Using Multiple Radio Frequency Power Feeds For Improved Uniformity
App 20200203132 - YE; Zheng John ;   et al.
2020-06-25
Aluminum fluoride mitigation by plasma treatment
Grant 10,688,538 - Shah , et al.
2020-06-23
Plasma processing using multiple radio frequency power feeds for improved uniformity
Grant 10,580,623 - Ye , et al.
2020-03-03
Methods of dry stripping boron-carbon films
Grant 10,510,518 - Lee , et al. Dec
2019-12-17
High Temperature Electrostatic Chucking With Dielectric Constant Engineered In-situ Charge Trap Materials
App 20190355609 - KULSHRESHTHA; Prashant ;   et al.
2019-11-21
VNAND tensile thick TEOS oxide
Grant 10,483,282 - Tsiang , et al. Nov
2019-11-19
Dielectric-metal stack for 3D flash memory application
Grant 10,475,644 - Han , et al. Nov
2019-11-12
Ultra-high modulus and etch selectivity boron-carbon hardmask films
Grant 10,418,243 - Kulshreshtha , et al. Sept
2019-09-17
Method and apparatus of processing wafers with compressive or tensile stress at elevated temperatures in a plasma enhanced chemical vapor deposition system
Grant 10,403,535 - Ye , et al. Sep
2019-09-03
Gas flow profile modulated control of overlay in plasma CVD films
Grant 10,373,822 - Kulshreshtha , et al.
2019-08-06
Vnand Tensile Thick Teos Oxide
App 20190229128 - TSIANG; Michael Wenyoung ;   et al.
2019-07-25
Plasma Enhanced Chemical Vapor Deposition Of Films For Improved Vertical Etch Performance In 3d Nand Memory Devices
App 20190185996 - JHA; Praket P. ;   et al.
2019-06-20
High temperature electrostatic chucking with dielectric constant engineered in-situ charge trap materials
Grant 10,325,800 - Kulshreshtha , et al.
2019-06-18
Dual-channel showerhead for formation of film stacks
Grant 10,276,353 - Alayavalli , et al.
2019-04-30
Ultra-high Modulus And Etch Selectivity Boron-carbon Hardmask Films
App 20190122889 - KULSHRESHTHA; Prashant Kumar ;   et al.
2019-04-25
Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
Grant 10,246,772 - Jha , et al.
2019-04-02
Conformal amorphous carbon for spacer and spacer protection applications
Grant 10,236,182 - Kim , et al.
2019-03-19
VNAND tensile thick TEOS oxide
Grant 10,199,388 - Tsiang , et al. Fe
2019-02-05
Edge hump reduction faceplate by plasma modulation
Grant 10,100,408 - Ha , et al. October 16, 2
2018-10-16
Pecvd Process
App 20180258535 - RAJAGOPALAN; Nagarajan ;   et al.
2018-09-13
Ultra-conformal carbon film deposition
Grant 10,074,534 - Behera , et al. September 11, 2
2018-09-11
Dielectric-metal Stack For 3d Flash Memory Application
App 20180247808 - HAN; Xinhai ;   et al.
2018-08-30
PECVD process
Grant 10,060,032 - Rajagopalan , et al. August 28, 2
2018-08-28
PECVD apparatus and process
Grant 10,030,306 - Rajagopalan , et al. July 24, 2
2018-07-24
Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
Grant 10,014,174 - Mebarki , et al. July 3, 2
2018-07-03
Dielectric-metal stack for 3D flash memory application
Grant 9,972,487 - Han , et al. May 15, 2
2018-05-15
Gas Flow Profile Modulated Control Of Overlay In Plasma Cvd Films
App 20180096843 - Kulshreshtha; Prashant Kumar ;   et al.
2018-04-05
Pecvd Process
App 20180066364 - RAJAGOPALAN; Nagarajan ;   et al.
2018-03-08
Aluminum Fluoride Mitigation By Plasma Treatment
App 20180036775 - SHAH; Vivek Bharat ;   et al.
2018-02-08
Gas flow profile modulated control of overlay in plasma CVD films
Grant 9,837,265 - Kulshreshtha , et al. December 5, 2
2017-12-05
PECVD process
Grant 9,816,187 - Rajagopalan , et al. November 14, 2
2017-11-14
Ultra-conformal Carbon Film Deposition
App 20170301537 - BEHERA; Swayambhu P. ;   et al.
2017-10-19
Conformal Strippable Carbon Film For Line-edge-roughness Reduction For Advanced Patterning
App 20170278709 - MEBARKI; Bencherki ;   et al.
2017-09-28
Ultra-conformal carbon film deposition
Grant 9,721,784 - Behera , et al. August 1, 2
2017-08-01
Methods to improve in-film particle performance of amorphous boron-carbon hardmask process in PECVD system
Grant 9,711,360 - Duan , et al. July 18, 2
2017-07-18
Conformal Amorphous Carbon For Spacer And Spacer Protection Applications
App 20170170015 - KIM; Sungjin ;   et al.
2017-06-15
Method And Apparatus For Clamping And Declamping Substrates Using Electrostatic Chucks
App 20170162417 - YE; Zheng John ;   et al.
2017-06-08
Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
Grant 9,659,771 - Mebarki , et al. May 23, 2
2017-05-23
Ultra-high Modulus And Etch Selectivity Boron-carbon Hardmask Films
App 20170103893 - KULSHRESHTHA; Prashant Kumar ;   et al.
2017-04-13
Low Temperature Conformal Deposition Of Silicon Nitride On High Aspect Ratio Structures
App 20170084448 - BARMAN; Soumendra Narayan ;   et al.
2017-03-23
Methods To Improve In-film Particle Performance Of Amorphous Boron-carbon Hardmask Process In Pecvd System
App 20170062218 - DUAN; Ziqing ;   et al.
2017-03-02
Vnand Tensile Thick Teos Oxide
App 20170062469 - TSIANG; Michael Wenyoung ;   et al.
2017-03-02
Conformal amorphous carbon for spacer and spacer protection applications
Grant 9,570,303 - Kim , et al. February 14, 2
2017-02-14
Pecvd Process
App 20170016118 - RAJAGOPALAN; Nagarajan ;   et al.
2017-01-19
Conformal Strippable Carbon Film For Line-edge-roughness Reduction For Advanced Patterning
App 20160365248 - MEBARKI; Bencherki ;   et al.
2016-12-15
Gate stack materials for semiconductor applications for lithographic overlay improvement
Grant 9,490,116 - Tsiang , et al. November 8, 2
2016-11-08
Dual-channel Showerhead For Formation Of Film Stacks
App 20160322200 - ALAYAVALLI; Kaushik ;   et al.
2016-11-03
Gas Flow Profile Modulated Control Of Overlay In Plasma Cvd Films
App 20160307752 - KULSHRESHTHA; Prashant Kumar ;   et al.
2016-10-20
Plasma Enhanced Chemical Vapor Deposition Of Films For Improved Vertical Etch Performance In 3d Nand Memory Devices
App 20160293609 - JHA; Praket P. ;   et al.
2016-10-06
PECVD process
Grant 9,458,537 - Rajagopalan , et al. October 4, 2
2016-10-04
Adhesion Improvements For Oxide-silicon Stack
App 20160260602 - SREEKALA; Subbalakshmi ;   et al.
2016-09-08
Gate Stack Materials For Semiconductor Applications For Lithographic Overlay Improvement
App 20160203971 - TSIANG; Michael ;   et al.
2016-07-14
Gas flow profile modulated control of overlay in plasma CVD films
Grant 9,390,910 - Kulshreshtha , et al. July 12, 2
2016-07-12
Methods Of Dry Stripping Boron-carbon Films
App 20160133443 - LEE; Kwangduk Douglas ;   et al.
2016-05-12
Apparatus and method for substrate clamping in a plasma chamber
Grant 9,337,072 - Balasubramanian , et al. May 10, 2
2016-05-10
Method for critical dimension reduction using conformal carbon films
Grant 9,337,051 - Mebarki , et al. May 10, 2
2016-05-10
Gas Flow Profile Modulated Control Of Overlay In Plasma Cvd Films
App 20160099147 - KULSHRESHTHA; Prashant Kumar ;   et al.
2016-04-07
Methods of dry stripping boron-carbon films
Grant 9,299,581 - Lee , et al. March 29, 2
2016-03-29
Nitrogen Doped Amorphous Carbon Hardmask
App 20160086794 - CHENG; Siu F. ;   et al.
2016-03-24
High Temperature Electrostatic Chucking With Dielectric Constant Engineered In-situ Charge Trap Materials
App 20160064264 - KULSHRESHTHA; Prashant ;   et al.
2016-03-03
Methods Of Dry Stripping Boron-carbon Films
App 20160064209 - LEE; Kwangduk Douglas ;   et al.
2016-03-03
Method And Apparatus Of Processing Wafers With Compressive Or Tensile Stress At Elevated Temperatures In A Plasma Enhanced Chemical Vapor Deposition System
App 20160049323 - YE; Zheng John ;   et al.
2016-02-18
Method For Critical Dimension Reduction Using Conformal Carbon Films
App 20160049305 - MEBARKI; Bencherki ;   et al.
2016-02-18
Integrated Pre-clean And Deposition Of Low-damage Layers
App 20160017487 - CHEN; Yihong ;   et al.
2016-01-21
Pecvd Process
App 20160017497 - RAJAGOPALAN; NAGARAJAN ;   et al.
2016-01-21
Ultra-conformal Carbon Film Deposition Layer-by-layer Deposition Of Carbon-doped Oxide Films
App 20160005596 - BEHERA; Swayambhu P. ;   et al.
2016-01-07
PECVD process
Grant 9,157,730 - Rajagopalan , et al. October 13, 2
2015-10-13
Elimination of first wafer effect for PECVD films
Grant 9,157,151 - Lakshmanan , et al. October 13, 2
2015-10-13
Conformal Amorphous Carbon For Spacer And Spacer Protection Applications
App 20150279676 - KIM; Sungjin ;   et al.
2015-10-01
Edge Hump Reduction Faceplate By Plasma Modulation
App 20150247237 - HA; Sungwon ;   et al.
2015-09-03
Cleaning Process For Cleaning Amorphous Carbon Deposition Residuals Using Low Rf Bias Frequency Applications
App 20150228463 - MANNA; Pramit ;   et al.
2015-08-13
Pecvd Apparatus And Process
App 20150226540 - Rajagopalan; Nagarajan ;   et al.
2015-08-13
Dielectric-metal Stack For 3d Flash Memory Application
App 20150206757 - HAN; XINHAI ;   et al.
2015-07-23
Plasma Processing Using Multiple Radio Frequency Power Feeds For Improved Uniformity
App 20150136325 - YE; Zheng John ;   et al.
2015-05-21
Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
Grant 8,993,454 - Seamons , et al. March 31, 2
2015-03-31
Nitrogen Doped Amorphous Carbon Hardmask
App 20140370711 - CHENG; Siu F. ;   et al.
2014-12-18
Conformal Amorphous Carbon For Spacer And Spacer Protection Applications
App 20140349490 - Kim; Sungjin ;   et al.
2014-11-27
High Throughput Multi-layer Stack Deposition
App 20140287593 - HAN; Xinhai ;   et al.
2014-09-25
Methods For Maintaining Clean Etch Rate And Reducing Particulate Contamination With Pecvd Of Amorphous Silicon Filims
App 20140272184 - SREEKALA; Subbalakshmi ;   et al.
2014-09-18
Methods Of Dry Stripping Boron-carbon Films
App 20140216498 - LEE; Kwangduk Douglas ;   et al.
2014-08-07
Conformal Sacrificial Film By Low Temperature Chemical Vapor Deposition Technique
App 20140162194 - XU; Jingjing ;   et al.
2014-06-12
Pecvd Process
App 20140118751 - RAJAGOPALAN; Nagarajan ;   et al.
2014-05-01
Deposition of an amorphous carbon layer with high film density and high etch selectivity
Grant 8,679,987 - Reilly , et al. March 25, 2
2014-03-25
Ultra High Selectivity Doped Amorphous Carbon Strippable Hardmask Development And Integration
App 20140017897 - SEAMONS; Martin Jay ;   et al.
2014-01-16
Deposition Of An Amorphous Carbon Layer With High Film Density And High Etch Selectivity
App 20130302996 - REILLY; Patrick ;   et al.
2013-11-14
Silicon nitride passivation layer for covering high aspect ratio features
Grant 8,563,095 - Rajagopalan , et al. October 22, 2
2013-10-22
Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
Grant 8,536,065 - Seamons , et al. September 17, 2
2013-09-17
Conformal Amorphous Carbon For Spacer And Spacer Protection Applications
App 20130189845 - Kim; Sungjin ;   et al.
2013-07-25
Zero Shrinkage Smooth Interface Oxy-nitride And Oxy-amorphous-silicon Stacks For 3d Memory Vertical Gate Application
App 20130161629 - HAN; XINHAI ;   et al.
2013-06-27
Processing chamber with heated chamber liner
Grant 8,444,926 - Fodor , et al. May 21, 2
2013-05-21
Air gap integration scheme
Grant 8,389,376 - Demos , et al. March 5, 2
2013-03-05
Amorphous carbon deposition method for improved stack defectivity
Grant 8,349,741 - Yu , et al. January 8, 2
2013-01-08
Fabrication of through-silicon vias on silicon wafers
Grant 8,329,575 - Rajagopalan , et al. December 11, 2
2012-12-11
Methods Of Dry Stripping Boron-carbon Films
App 20120285492 - Lee; Kwangduk Douglas ;   et al.
2012-11-15
High mobility monolithic p-i-n diodes
Grant 8,298,887 - Han , et al. October 30, 2
2012-10-30
Fabrication of through-silicon vias on silicon wafers
Grant 8,283,237 - Rajagopalan , et al. October 9, 2
2012-10-09
Composite removable hardmask
Grant 8,252,699 - Konecni , et al. August 28, 2
2012-08-28
Method For Depositing An Amorphous Carbon Film With Improved Density And Step Coverage
App 20120208373 - PADHI; DEENESH ;   et al.
2012-08-16
Amorphous Carbon Deposition Method For Improved Stack Defectivity
App 20120208374 - Yu; Hang ;   et al.
2012-08-16
Amorphous carbon deposition method for improved stack defectivity
Grant 8,227,352 - Yu , et al. July 24, 2
2012-07-24
Fabrication Of Through-silicon Vias On Silicon Wafers
App 20120164827 - RAJAGOPALAN; Nagarajan ;   et al.
2012-06-28
Fabrication Of Through-silicon Vias On Silicon Wafers
App 20120164829 - Rajagopalan; Nagarajan ;   et al.
2012-06-28
Composite Removable Hardmask
App 20120129351 - Konecni; Anthony ;   et al.
2012-05-24
Semiconductor device having silicon carbide and conductive pathway interface
Grant 8,183,150 - Huang , et al. May 22, 2
2012-05-22
Ultra High Selectivity Doped Amorphous Carbon Strippable Hardmask Development And Integration
App 20120080779 - SEAMONS; Martin Jay ;   et al.
2012-04-05
Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
Grant 8,105,465 - Lee , et al. January 31, 2
2012-01-31
Amorphous Carbon Deposition Method For Improved Stack Defectivity
App 20120015521 - Yu; Hang ;   et al.
2012-01-19
Graphene Deposition
App 20110303899 - Padhi; Deenesh ;   et al.
2011-12-15
PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
Grant 8,076,250 - Rajagopalan , et al. December 13, 2
2011-12-13
Nitrogen Doped Amorphous Carbon Hardmask
App 20110244142 - CHENG; SIU F. ;   et al.
2011-10-06
Silicon Nitride Passivation Layer For Covering High Aspect Ratio Features
App 20110223765 - RAJAGOPALAN; Nagarajan ;   et al.
2011-09-15
High Mobility Monolithic P-i-n Diodes
App 20110136327 - Han; Xinhai ;   et al.
2011-06-09
Plasma Surface Treatment To Prevent Pattern Collapse In Immersion Lithography
App 20110111604 - Kim; Eui Kyoon ;   et al.
2011-05-12
Method For Depositing An Amorphous Carbon Film With Improved Density And Step Coverage
App 20110104400 - Padhi; Deenesh ;   et al.
2011-05-05
Apparatus And Method For Substrate Clamping In A Plasma Chamber
App 20110090613 - Balasubramanian; Ganesh ;   et al.
2011-04-21
Method for depositing an amorphous carbon film with improved density and step coverage
Grant 7,867,578 - Padhi , et al. January 11, 2
2011-01-11
Novel Air Gap Integration Scheme
App 20100151671 - Demos; Alexandros T. ;   et al.
2010-06-17
Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
Grant 7,723,228 - Rajagopalan , et al. May 25, 2
2010-05-25
Method for Depositing Conformal Amorphous Carbon Film by Plasma-Enhanced Chemical Vapor Deposition (PECVD)
App 20100093187 - Lee; Kwangduk Douglas ;   et al.
2010-04-15
High Temperature Bd Development For Memory Applications
App 20100087062 - Lakshmanan; Annamalai ;   et al.
2010-04-08
Air gap integration scheme
Grant 7,670,924 - Demos , et al. March 2, 2
2010-03-02
Blocker plate bypass to distribute gases in a chemical vapor deposition system
Grant 7,572,337 - Rocha-Alvarez , et al. August 11, 2
2009-08-11
Elimination Of Photoresist Material Collapse And Poisoning In 45-nm Feature Size Using Dry Or Immersion Lithography
App 20090197086 - Rathi; Sudha ;   et al.
2009-08-06
Plasma Surface Treatment To Prevent Pattern Collapse In Immersion Lithography
App 20090104541 - Kim; Eui Kyoon ;   et al.
2009-04-23
Methods For High Temperature Deposition Of An Amorphous Carbon Layer
App 20090093128 - SEAMONS; MARTIN JAY ;   et al.
2009-04-09
High Productivity Plasma Processing Chamber
App 20090068356 - SILVETTI; MARIO David ;   et al.
2009-03-12
Semiconductor Device Having Silicon Carbide And Conductive Pathway Interface
App 20090050902 - Huang; Judy H. ;   et al.
2009-02-26
Plasma-induced Charge Damage Control For Plasma Enhanced Chemical Vapor Deposition Processes
App 20080254233 - LEE; KWANGDUK DOUGLAS ;   et al.
2008-10-16
Liquid precursors for the CVD deposition of amorphous carbon films
Grant 7,407,893 - Seamons , et al. August 5, 2
2008-08-05
Uv Curing Of Pecvd-deposited Sacrificial Polymer Films For Air-gap Ild
App 20080182403 - NOORI; ATIF ;   et al.
2008-07-31
Processing Chamber With Heated Chamber Liner
App 20080178797 - FODOR; MARK A. ;   et al.
2008-07-31
Novel Air Gap Integration Scheme
App 20080182404 - DEMOS; ALEXANDROS T. ;   et al.
2008-07-31
Hermetic cap layers formed on low-.kappa. films by plasma enhanced chemical vapor deposition
Grant 7,399,364 - Nguyen , et al. July 15, 2
2008-07-15
Method For Depositing An Amorphous Carbon Film With Improved Density And Step Coverage
App 20080153311 - Padhi; Deenesh ;   et al.
2008-06-26
Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
Grant 7,371,427 - Rajagopalan , et al. May 13, 2
2008-05-13
Apparatus And Method For Substrate Clamping In A Plasma Chamber
App 20080084650 - Balasubramanian; Ganesh ;   et al.
2008-04-10
Overall defect reduction for PECVD films
App 20080050932 - Lakshmanan; Annamalai ;   et al.
2008-02-28
Method for plasma processing
App 20080008842 - Soo; Jyr Hong ;   et al.
2008-01-10
Method For Depositing an Amorphous Carbon Film with Improved Density and Step Coverage
App 20080003824 - Padhi; Deenesh ;   et al.
2008-01-03
Methods for low temperature deposition of an amorphous carbon layer
App 20070286954 - Tang; Sum-Yee ;   et al.
2007-12-13
Elimination Of First Wafer Effect For Pecvd Films
App 20070281083 - LAKSHMANAN; ANNAMALAI ;   et al.
2007-12-06
Hermetic low dielectric constant layer for barrier applications
Grant 7,288,205 - Lakshmanan , et al. October 30, 2
2007-10-30
Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition
Grant 7,285,503 - Nguyen , et al. October 23, 2
2007-10-23
Situ oxide cap layer development
Grant 7,273,823 - Lakshmanan , et al. September 25, 2
2007-09-25
Low-k spacer integration into CMOS transistors
App 20070202640 - Al-Bayati; Amir ;   et al.
2007-08-30
Interface engineering to improve adhesion between low k stacks
Grant 7,259,111 - Padhi , et al. August 21, 2
2007-08-21
Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
Grant 7,189,658 - Lakshmanan , et al. March 13, 2
2007-03-13
Non-intrusive plasma monitoring system for arc detection and prevention for blanket CVD films
App 20070042131 - Soo; Jyr Hong ;   et al.
2007-02-22
In situ oxide cap layer development
App 20060276054 - Lakshmanan; Annamalai ;   et al.
2006-12-07
Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
App 20060252273 - Lakshmanan; Annamalai ;   et al.
2006-11-09
Hermetic Cap Layers Formed On Low-k Films By Plasma Enhanced Chemical Vapor Deposition
App 20060219174 - Nguyen; Vu Ngoc Tran ;   et al.
2006-10-05
Nitrogen-free dielectric anti-reflective coating and hardmask
Grant 7,105,460 - Kim , et al. September 12, 2
2006-09-12
Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
Grant 7,091,137 - Lee , et al. August 15, 2
2006-08-15
Interface engineering to improve adhesion between low k stacks
App 20060160376 - Padhi; Deenesh ;   et al.
2006-07-20
Method of forming low dielectric constant porous films
Grant 7,060,638 - Nguyen , et al. June 13, 2
2006-06-13
Hermetic low dielectric constant layer for barrier applications
App 20060006140 - Lakshmanan; Annamalai ;   et al.
2006-01-12
Liquid precursors for the CVD deposition of amorphous carbon films
App 20050287771 - Seamons, Martin Jay ;   et al.
2005-12-29
Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition
App 20050282404 - Nguyen, Vu Ngoc Tran ;   et al.
2005-12-22
Advanced low dielectric constant barrier layers
App 20050277302 - Nguyen, Son Van ;   et al.
2005-12-15
Semiconductor device having silicon carbide and conductive pathway interface
App 20050263900 - Huang, Judy H. ;   et al.
2005-12-01
Blocker plate bypass to distribute gases in a chemical vapor deposition system
App 20050263248 - Rocha-Alvarez, Juan Carlos ;   et al.
2005-12-01
High productivity plasma processing chamber
App 20050229849 - Silvetti, Mario David ;   et al.
2005-10-20
Low dielectric constant porous films
App 20050215065 - Nguyen, Son Van ;   et al.
2005-09-29
Plasma treatment for copper oxide reduction
Grant 6,946,401 - Huang , et al. September 20, 2
2005-09-20
Nitrogen-free dielectric anti-reflective coating and hardmask
Grant 6,927,178 - Kim , et al. August 9, 2
2005-08-09
Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
App 20050042889 - Lee, Albert ;   et al.
2005-02-24
Detecting chemiluminescent radiation in the cleaning of a substrate processing chamber
Grant 6,843,881 - Kim , et al. January 18, 2
2005-01-18
Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
App 20040235292 - Rajagopalan, Nagarajan ;   et al.
2004-11-25
Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
App 20040231795 - Rajagopalan, Nagarajan ;   et al.
2004-11-25
Nitrogen-free dielectric anti-reflective coating and hardmask
App 20040214446 - Kim, Bok Hoen ;   et al.
2004-10-28
Plasma treatment for copper oxide reduction
App 20040046260 - Huang, Judy H. ;   et al.
2004-03-11
Semiconductor device having reduced oxidation interface
Grant 6,700,202 - Huang , et al. March 2, 2
2004-03-02
Nitrogen-free dielectric anti-reflective coating and hardmask
App 20040009676 - Kim, Bok Hoen ;   et al.
2004-01-15
Detecting chemiluminescent radiation in the cleaning of a substrate processing chamber
App 20030185966 - Kim, Bok Hoen ;   et al.
2003-10-02
Method and apparatus for reducing particle contamination on wafer backside during CVD process
Grant 6,413,321 - Kim , et al. July 2, 2
2002-07-02
Apparatus for reducing copper oxidation and contamination in a semiconductor device
App 20020081856 - Huang, Judy H. ;   et al.
2002-06-27
Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
Grant 6,355,571 - Huang , et al. March 12, 2
2002-03-12

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed