loadpatents
name:-0.043217897415161
name:-0.065968990325928
name:-0.048214197158813
Huang; Haigou Patent Filings

Huang; Haigou

Patent Applications and Registrations

Patent applications and USPTO patent grants for Huang; Haigou.The latest application filed is for "gap fill void and connection structures".

Company Profile
46.64.43
  • Huang; Haigou - Rexford NY
  • Huang; Haigou - Malta NY
  • Huang; Haigou - Singapore SG
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
IC product comprising a novel insulating gate separation structure for transistor devices
Grant 11,349,013 - Huang , et al. May 31, 2
2022-05-31
Semiconductor device with reduced gate height budget
Grant 11,114,542 - Zang , et al. September 7, 2
2021-09-07
Gap Fill Void And Connection Structures
App 20210134658 - HUANG; Haigou ;   et al.
2021-05-06
Multi-step insulator formation in trenches to avoid seams in insulators
Grant 10,964,599 - Sirman , et al. March 30, 2
2021-03-30
Cap structure
Grant 10,930,549 - Gao , et al. February 23, 2
2021-02-23
Gap fill void and connection structures
Grant 10,923,388 - Huang , et al. February 16, 2
2021-02-16
Interconnects with non-mandrel cuts formed by early block patterning
Grant 10,777,413 - Ren , et al. Sept
2020-09-15
Chamferless interconnect vias of semiconductor devices
Grant 10,770,344 - Ren , et al. Sep
2020-09-08
Gap Fill Void And Connection Structures
App 20200235002 - HUANG; Haigou ;   et al.
2020-07-23
Method of forming semiconductor material in trenches having different widths, and related structures
Grant 10,714,376 - Chang , et al.
2020-07-14
Chamferless Interconnect Vias Of Semiconductor Devices
App 20200219763 - REN; YUPING ;   et al.
2020-07-09
Methods, apparatus, and system for reducing gate cut gouging and/or gate height loss in semiconductor devices
Grant 10,644,156 - Gao , et al.
2020-05-05
Method of manufacturing finfet devices using narrow and wide gate cut openings in conjunction with a replacement metal gate process
Grant 10,586,860 - Shu , et al.
2020-03-10
Capping structure
Grant 10,559,470 - Huang , et al. Feb
2020-02-11
Interconnects With Non-mandrel Cuts Formed By Early Block Patterning
App 20200020531 - Ren; Yuping ;   et al.
2020-01-16
Cap Structure
App 20200013672 - GAO; Jinsheng ;   et al.
2020-01-09
Methods, apparatus, and system for forming epitaxial formations with reduced risk of merging
Grant 10,529,831 - Gao , et al. J
2020-01-07
Methods, apparatus and system for stringer defect reduction in a trench cut region of a finFET device
Grant 10,522,639 - Zang , et al. Dec
2019-12-31
Method Of Forming Semiconductor Material In Trenches Having Different Widths, And Related Structures
App 20190393077 - Chang; Chih-Chiang ;   et al.
2019-12-26
Contact structures
Grant 10,510,613 - Shu , et al. Dec
2019-12-17
Ic Product Comprising A Novel Insulating Gate Separation Structure For Transistor Devices
App 20190378914 - Huang; Haigou ;   et al.
2019-12-12
Semiconductor Device With Reduced Gate Height Budget
App 20190371905 - ZANG; Hui ;   et al.
2019-12-05
Multi-step Insulator Formation In Trenches To Avoid Seams In Insulators
App 20190355624 - Sirman; Asli ;   et al.
2019-11-21
Methods, Apparatus, And System For A Semiconductor Device Comprising Gates With Short Heights
App 20190355615 - Shu; Jiehui ;   et al.
2019-11-21
Methods of forming replacement gate structures on transistor devices
Grant 10,483,369 - Huang , et al. Nov
2019-11-19
A Method Of Manufacturing Finfet Devices Using Narrow And Wide Gate Cut Openings In Conjuction With A Replacement Metal Gate Pro
App 20190341475 - Shu; Jiehui ;   et al.
2019-11-07
Cap structure
Grant 10,460,986 - Gao , et al. Oc
2019-10-29
Methods, Apparatus And System For Stringer Defect Reduction In A Trench Cut Region Of A Finfet Device
App 20190326408 - Zang; Hui ;   et al.
2019-10-24
Material Combinations For Polish Stops And Gate Caps
App 20190326416 - Huang; Haigou ;   et al.
2019-10-24
Diffused contact extension dopants in a transistor device
Grant 10,453,754 - Peng , et al. Oc
2019-10-22
Tone inversion method and structure for selective contact via patterning
Grant 10,453,751 - Qiu , et al. Oc
2019-10-22
Multi-step Insulator Formation In Trenches To Avoid Seams In Insulators
App 20190304843 - Sirman; Asli ;   et al.
2019-10-03
Multi-step insulator formation in trenches to avoid seams in insulators
Grant 10,431,500 - Sirman , et al. O
2019-10-01
Methods, apparatus and system for stringer defect reduction in a trench cut region of a finFET device
Grant 10,418,455 - Zang , et al. Sept
2019-09-17
Methods, apparatus, and system for a semiconductor device comprising gates with short heights
Grant 10,418,272 - Shu , et al. Sept
2019-09-17
Methods, Apparatus, And System For Reducing Gate Cut Gouging And/or Gate Height Loss In Semiconductor Devices
App 20190280114 - Gao; Jinsheng ;   et al.
2019-09-12
Semiconductor device with reduced gate height budget
Grant 10,403,734 - Zang , et al. Sep
2019-09-03
Composite contact etch stop layer
Grant 10,388,562 - Huang , et al. A
2019-08-20
Cap Structure
App 20190237363 - GAO; Jinsheng ;   et al.
2019-08-01
Capping Structure
App 20190228976 - HUANG; Haigou ;   et al.
2019-07-25
Contact Structures
App 20190229019 - Shu; Jiehui ;   et al.
2019-07-25
Device for improving performance through gate cut last process
Grant 10,347,729 - Wu , et al. July 9, 2
2019-07-09
Methods, apparatus and system for providing a pre-RMG replacement metal contact for a finFET device
Grant 10,325,819 - Gao , et al.
2019-06-18
Methods Of Forming Replacement Gate Structures On Transistor Devices
App 20190131428 - Huang; Haigou ;   et al.
2019-05-02
Methods, apparatus and system for replacement contact for a finFET device
Grant 10,269,654 - Gao , et al.
2019-04-23
Method of forming cobalt contact module and cobalt contact module formed thereby
Grant 10,262,942 - Fang , et al.
2019-04-16
Replacement contact cuts with an encapsulated low-K dielectric
Grant 10,256,089 - Cao , et al.
2019-04-09
Methods, Apparatus And System For Stringer Defect Reduction In A Trench Cut Region Of A Finfet Device
App 20190097015 - Zang; Hui ;   et al.
2019-03-28
Nanosheet Transistor With Improved Inner Spacer
App 20190081155 - XIE; Ruilong ;   et al.
2019-03-14
Methods of forming upper source/drain regions on a vertical transistor device
Grant 10,229,999 - Wu , et al.
2019-03-12
Vertical field effect transistor formation with critical dimension control
Grant 10,217,846 - Xie , et al. Feb
2019-02-26
Composite Contact Etch Stop Layer
App 20190057899 - HUANG; Haigou ;   et al.
2019-02-21
Vertical field-effect transistor having a dielectric spacer between a gate electrode edge and a self-aligned source/drain contact
Grant 10,211,315 - Zang , et al. Feb
2019-02-19
Advanced structure for self-aligned contact and method for producing the same
Grant 10,211,103 - Huang , et al. Feb
2019-02-19
Methods, apparatus, and system for reducing step height difference in semiconductor devices
Grant 10,204,797 - Gao , et al. Feb
2019-02-12
Methods of forming features on integrated circuit products
Grant 10,204,784 - Gao , et al. Feb
2019-02-12
Method Of Forming Cobalt Contact Module And Cobalt Contact Module Formed Thereby
App 20190035739 - Fang; Qiang ;   et al.
2019-01-31
Vertical Field-Effect Transistor having a Dielectric Spacer between a Gate Electrode Edge and a Self-Aligned Source/Drain Contact
App 20190027586 - Zang; Hui ;   et al.
2019-01-24
Semiconductor Device With Reduced Gate Height Budget
App 20190027575 - ZANG; Hui ;   et al.
2019-01-24
Methods, apparatus and system for gate cut process using a stress material in a finFET device
Grant 10,176,995 - Wu , et al. J
2019-01-08
Replacement Contact Cuts With An Encapsulated Low-k Dielectric
App 20180366324 - Cao; Huy ;   et al.
2018-12-20
Nanosheet field-effect transistor with full dielectric isolation
Grant 10,103,238 - Zang , et al. October 16, 2
2018-10-16
Methods Of Forming Integrated Circuit Structures Including Opening Filled With Insulator In Metal Gate
App 20180286965 - Zang; Hui ;   et al.
2018-10-04
Methods of forming integrated circuit structures including opening filled with insulator in metal gate
Grant 10,090,169 - Zang , et al. October 2, 2
2018-10-02
Tone Inversion Method And Structure For Selective Contact Via Patterning
App 20180261510 - QIU; Xiaofeng ;   et al.
2018-09-13
Methods Of Forming Upper Source/drain Regions On A Vertical Transistor Device
App 20180248046 - Wu; Xusheng ;   et al.
2018-08-30
Preventing bridge formation between replacement gate and source/drain region through STI structure
Grant 10,062,772 - Huang , et al. August 28, 2
2018-08-28
Siloxane and organic-based MOL contact patterning
Grant 10,056,458 - Maeng , et al. August 21, 2
2018-08-21
Method of forming field effect transistors with replacement metal gates and contacts and resulting structure
Grant 10,014,298 - Zang , et al. July 3, 2
2018-07-03
Contact etch stop layer with sacrificial polysilicon layer
Grant 9,991,363 - Huang , et al. June 5, 2
2018-06-05
Methods for performing a gate cut last scheme for FinFET semiconductor devices
Grant 9,991,361 - Dai , et al. June 5, 2
2018-06-05
Methods for nitride planarization using dielectric
Grant 9,966,272 - Sheng , et al. May 8, 2
2018-05-08
Methods for forming different shapes in different regions of the same layer
Grant 9,935,012 - Gao , et al. April 3, 2
2018-04-03
Embedded silicon carbide block patterning
Grant 9,922,972 - Qiu , et al. March 20, 2
2018-03-20
Dielectric preservation in a replacement gate process
Grant 9,916,982 - Wu , et al. March 13, 2
2018-03-13
Method of forming field effect transistors with replacement metal gates and contacts and resulting structure
Grant 9,911,736 - Zang , et al. March 6, 2
2018-03-06
Preventing Bridge Formation Between Replacement Gate And Source/drain Region Through Sti Structure
App 20180033870 - Huang; Haigou ;   et al.
2018-02-01
Structure and method for inhibiting cobalt diffusion
Grant 9,865,543 - Fang , et al. January 9, 2
2018-01-09
Device For Improving Performance Through Gate Cut Last Process
App 20170365676 - WU; Xusheng ;   et al.
2017-12-21
Vertical field effect transistor
Grant 9,837,553 - Wu , et al. December 5, 2
2017-12-05
Methods For Performing A Gate Cut Last Scheme For Finfet Semiconductor Devices
App 20170345913 - Dai; Xintuo ;   et al.
2017-11-30
Controlling Within-die Uniformity Using Doped Polishing Material
App 20170338226 - Huang; Haigou ;   et al.
2017-11-23
Methods of cutting gate structures on transistor devices
Grant 9,812,365 - Zhang , et al. November 7, 2
2017-11-07
Self-aligned deep contact for vertical FET
Grant 9,761,491 - Huang , et al. September 12, 2
2017-09-12
Controlling within-die uniformity using doped polishing material
Grant 9,754,837 - Huang , et al. September 5, 2
2017-09-05
Self-aligned lithographic patterning with variable spacings
Grant 9,711,447 - Shu , et al. July 18, 2
2017-07-18
Siloxane And Organic-based Mol Contact Patterning
App 20170200792 - MAENG; Chang Ho ;   et al.
2017-07-13
Introducing self-aligned dopants in semiconductor fins
Grant 9,698,018 - Dai , et al. July 4, 2
2017-07-04
Devices and methods of improving device performance through gate cut last process
Grant 9,679,985 - Wu , et al. June 13, 2
2017-06-13
Reducing liner corrosion during metallization of semiconductor devices
Grant 9,595,493 - Sun , et al. March 14, 2
2017-03-14
Method for eliminating interlayer dielectric dishing and controlling gate height uniformity
Grant 9,589,807 - Huang , et al. March 7, 2
2017-03-07
Reducing Liner Corrosion During Metallization Of Semiconductor Devices
App 20170047282 - SUN; Zhiguo ;   et al.
2017-02-16
Liner and cap layer for placeholder source/drain contact structure planarization and replacement
Grant 9,466,723 - Huang , et al. October 11, 2
2016-10-11
Method for reducing gate height variation due to overlapping masks
Grant 9,401,416 - Yu , et al. July 26, 2
2016-07-26
Shallow trench isolation integration methods and devices formed thereby
Grant 9,385,192 - Shen , et al. July 5, 2
2016-07-05
Defect-free relaxed covering layer on semiconductor substrate with lattice mismatch
Grant 9,368,342 - Huang , et al. June 14, 2
2016-06-14
Method For Reducing Gate Height Variation Due To Overlapping Masks
App 20160163830 - Yu; Hong ;   et al.
2016-06-09
Uniform gate height for mixed-type non-planar semiconductor devices
Grant 9,230,822 - Yu , et al. January 5, 2
2016-01-05
Uniform Gate Height For Mixed-type Non-planar Semiconductor Devices
App 20150364336 - YU; Hong ;   et al.
2015-12-17
Shallow Trench Isolation Integration Methods And Devices Formed Thereby
App 20150333121 - Shen; Hongliang ;   et al.
2015-11-19
Defect-free Relaxed Covering Layer On Semiconductor Substrate With Lattice Mismatch
App 20150295047 - HUANG; Haigou ;   et al.
2015-10-15
Fabrication Of Semiconductor Structures Using Oxidized Polycrystalline Silicon As Conformal Stop Layers
App 20150270159 - HUANG; Haigou ;   et al.
2015-09-24
T-shaped single diffusion barrier with single mask approach process flow
Grant 9,123,773 - Shen , et al. September 1, 2
2015-09-01
Shallow trench isolation integration methods and devices formed thereby
Grant 9,123,771 - Shen , et al. September 1, 2
2015-09-01
Method For Manufacturing A Semiconductor Device By Stopping Planarization Of Insulating Material On Fins
App 20150093877 - HUANG; Haigou ;   et al.
2015-04-02
Shallow Trench Isolation Integration Methods And Devices Formed Thereby
App 20140227858 - Shen; Hongliang ;   et al.
2014-08-14
Ultrasonic Filtration For Cmp Slurry
App 20100206818 - Leong; Lup San ;   et al.
2010-08-19

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed