loadpatents
name:-0.14564108848572
name:-0.091257810592651
name:-0.05036187171936
Fan; Su Chen Patent Filings

Fan; Su Chen

Patent Applications and Registrations

Patent applications and USPTO patent grants for Fan; Su Chen.The latest application filed is for "reversible resistive memory logic gate device".

Company Profile
62.94.111
  • Fan; Su Chen - Cohoes NY
  • Fan; Su-Chen - Tao-Yuan TW
  • Fan; Su Chen - Albany NY
  • Fan; Su-Chen - Ping-Jen TW
  • Fan; Su-Chen - Yonghe City TW
  • Fan; Su-Chen - Chung-Li TW
  • Fan; Su-Chen - Ping-Jen City TW
  • Fan; Su-Chen - Ping-Jeng City TW
  • Fan; Su-Chen - Chung-Li City TW
  • Fan; Su-Chen - Taoyuan Hsien TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Reversible Resistive Memory Logic Gate Device
App 20220310908 - Chen; Hsueh-Chung ;   et al.
2022-09-29
Sacrificial Fin For Contact Self-alignment
App 20220262923 - Mignot; Yann ;   et al.
2022-08-18
Wrapped-around Contact For Vertical Field Effect Transistor Top Source-drain
App 20220199785 - Xie; Ruilong ;   et al.
2022-06-23
Stacked Vertical Transport Field-effect Transistor Logic Gate Structures With Shared Epitaxial Layers
App 20220181321 - Kang; Tsung-Sheng ;   et al.
2022-06-09
Three-dimensional Field Effect Device
App 20220130992 - Zhou; Huimei ;   et al.
2022-04-28
Sacrificial fin for contact self-alignment
Grant 11,316,029 - Mignot , et al. April 26, 2
2022-04-26
Formation of contacts for semiconductor devices
Grant 11,227,801 - Xie , et al. January 18, 2
2022-01-18
Three-dimensional field effect device
Grant 11,222,981 - Zhou , et al. January 11, 2
2022-01-11
Self-aligned contacts for MOL
Grant 11,205,590 - Fan , et al. December 21, 2
2021-12-21
Liner and cap structures for reducing local interconnect vertical resistance without compromising reliability
Grant 11,205,587 - Fan , et al. December 21, 2
2021-12-21
Silicide Formation For Source/drain Contact In A Vertical Transport Field-effect Transistor
App 20210391224 - Wu; Heng ;   et al.
2021-12-16
Layout Design for Threshold Voltage Tuning
App 20210384139 - ZHOU; Huimei ;   et al.
2021-12-09
Three-dimensional field effect device
Grant 11,183,593 - Zhou , et al. November 23, 2
2021-11-23
Contacts And Liners Having Multi-segmented Protective Caps
App 20210351073 - Fullam; Jennifer ;   et al.
2021-11-11
Contacts and liners having multi-segmented protective caps
Grant 11,171,051 - Fullam , et al. November 9, 2
2021-11-09
Barrier-free vertical interconnect structure
Grant 11,164,778 - Wang , et al. November 2, 2
2021-11-02
Silicide formation for source/drain contact in a vertical transport field-effect transistor
Grant 11,158,543 - Wu , et al. October 26, 2
2021-10-26
Sacrificial Fin For Contact Self-alignment
App 20210328041 - Mignot; Yann ;   et al.
2021-10-21
Cmos Top Source/drain Region Doping And Epitaxial Growth For A Vertical Field Effect Transistor
App 20210305104 - Wu; Heng ;   et al.
2021-09-30
Formation Of Contacts For Semiconductor Devices
App 20210296178 - Xie; Ruilong ;   et al.
2021-09-23
Middle-of-line interconnect having low metal-to-metal interface resistance
Grant 11,114,382 - Varghese , et al. September 7, 2
2021-09-07
Self-aligned contacts for vertical field effect transistors
Grant 11,081,566 - Fan , et al. August 3, 2
2021-08-03
Metal contact isolation for semiconductor structures
Grant 11,063,126 - Fan , et al. July 13, 2
2021-07-13
Barrier-free Vertical Interconnect Structure
App 20210159117 - Wang; Junli ;   et al.
2021-05-27
Method and structure of metal cut
Grant 11,011,417 - Fan , et al. May 18, 2
2021-05-18
Three-dimensional Field Effect Device
App 20210118873 - Zhou; Huimei ;   et al.
2021-04-22
Three-dimensional field effect device
Grant 10,971,490 - Zhou , et al. April 6, 2
2021-04-06
Stack viabar structures
Grant 10,971,356 - Fan , et al. April 6, 2
2021-04-06
Self-Aligned Contacts for MOL
App 20210090950 - Fan; Su Chen ;   et al.
2021-03-25
Extreme ultraviolet lithography patterning with directional deposition
Grant 10,957,552 - Xu , et al. March 23, 2
2021-03-23
Gate contact over active enabled by alternative spacer scheme and claw-shaped cap
Grant 10,943,990 - Greene , et al. March 9, 2
2021-03-09
Self-aligned contact for vertical field effect transistor
Grant 10,896,972 - Anderson , et al. January 19, 2
2021-01-19
Silicide Formation For Source/drain Contact In A Vertical Transport Field-effect Transistor
App 20210013108 - Wu; Heng ;   et al.
2021-01-14
Low-resistance Top Contact On Vtfet
App 20210005735 - Waskiewicz; Christopher J. ;   et al.
2021-01-07
Gate tie-down enablement with inner spacer
Grant 10,879,375 - Fan , et al. December 29, 2
2020-12-29
Method And Structure Of Metal Cut
App 20200381296 - Fan; Su Chen ;   et al.
2020-12-03
Gate contact over active region with self-aligned source/drain contact
Grant 10,832,943 - Fan , et al. November 10, 2
2020-11-10
Low-resistance top contact on VTFET
Grant 10,833,173 - Waskiewicz , et al. November 10, 2
2020-11-10
Sacrificial gate spacer regions for gate contacts formed over the active region of a transistor
Grant 10,832,961 - Fan , et al. November 10, 2
2020-11-10
Method and structure for cost effective enhanced self-aligned contacts
Grant 10,818,548 - Lai , et al. October 27, 2
2020-10-27
Sacrificial Gate Spacer Regions For Gate Contacts Formed Over The Active Region Of A Transistor
App 20200335401 - Fan; Su Chen ;   et al.
2020-10-22
Buried contact to provide reduced VFET feature-to-feature tolerance requirements
Grant 10,804,148 - Fan , et al. October 13, 2
2020-10-13
Gate Contact Over Active Region With Self-aligned Source/drain Contact
App 20200321244 - Fan; Su Chen ;   et al.
2020-10-08
Buried contact to provide reduced VFET feature-to-feature tolerance requirements
Grant 10,796,957 - Fan , et al. October 6, 2
2020-10-06
Self-aligned Contacts For Vertical Field Effect Transistors
App 20200295156 - Fan; Su Chen ;   et al.
2020-09-17
Metal Contact Isolation For Semiconductor Structures
App 20200279925 - Fan; Su Chen ;   et al.
2020-09-03
Bottom contact formation for vertical transistor devices
Grant 10,727,317 - Fan , et al.
2020-07-28
Stack Viabar Structures
App 20200203156 - FAN; Su Chen ;   et al.
2020-06-25
Liner and cap structures for reducing local interconnect vertical resistance without compromising reliability
Grant 10,685,876 - Fan , et al.
2020-06-16
Extreme ultraviolet lithography patterning with directional deposition
Grant 10,658,190 - Xu , et al.
2020-05-19
Stack Viabar Structures
App 20200135457 - FAN; Su Chen ;   et al.
2020-04-30
Gate Contact Over Active Enabled by Alternative Spacer Scheme and Claw-Shaped Cap
App 20200135886 - Greene; Andrew ;   et al.
2020-04-30
Middle-of-line Interconnect Having Low Metal-to-metal Interface Resistance
App 20200126926 - Varghese; Alex Joseph ;   et al.
2020-04-23
Self-aligned contact for vertical field effect transistor
Grant 10,622,458 - Anderson , et al.
2020-04-14
Bottom Contact Formation For Vertical Transistor Devices
App 20200111895 - Fan; Su Chen ;   et al.
2020-04-09
Stack viabar structures
Grant 10,615,027 - Fan , et al.
2020-04-07
Extreme Ultraviolet Lithography Patterning With Directional Deposition
App 20200098578 - Xu; Yongan ;   et al.
2020-03-26
Extreme Ultraviolet Lithography Patterning With Directional Deposition
App 20200098581 - Xu; Yongan ;   et al.
2020-03-26
Liner And Cap Structures For Reducing Local Interconnect Vertical Resistance Without Compromising Reliability
App 20200090990 - Fan; Su Chen ;   et al.
2020-03-19
Liner And Cap Structures For Reducing Local Interconnect Vertical Resistance Without Compromising Reliability
App 20200090989 - Fan; Su Chen ;   et al.
2020-03-19
Low-resistance Top Contact On Vtfet
App 20200075746 - Waskiewicz; Christopher J. ;   et al.
2020-03-05
Self-aligned Contact For Vertical Field Effect Transistor
App 20200052096 - Anderson; Brent A. ;   et al.
2020-02-13
Three-dimensional Field Effect Device
App 20200035824 - Zhou; Huimei ;   et al.
2020-01-30
Three-dimensional Field Effect Device
App 20200035823 - Zhou; Huimei ;   et al.
2020-01-30
Gate tie-down enablement with inner spacer
Grant 10,522,654 - Fan , et al. Dec
2019-12-31
Vertical field effect transistor with self-aligned contacts
Grant 10,497,798 - Xie , et al. De
2019-12-03
Gate Tie-down Enablement With Inner Spacer
App 20190363178 - Fan; Su Chen ;   et al.
2019-11-28
Embedded bottom metal contact formed by a self-aligned contact process for vertical transistors
Grant 10,490,653 - Fan , et al. Nov
2019-11-26
Three-dimensional field effect device
Grant 10,490,667 - Zhou , et al. Nov
2019-11-26
Three-dimensional Field Effect Device
App 20190355717 - Zhou; Huimei ;   et al.
2019-11-21
Three-dimensional Field Effect Device
App 20190355845 - Zhou; Huimei ;   et al.
2019-11-21
Local interconnect structure including non-eroded contact via trenches
Grant 10,388,602 - Fan , et al. A
2019-08-20
Vertical Field Effect Transistor With Self-aligned Contacts
App 20190252267 - Xie; Ruilong ;   et al.
2019-08-15
Gate tie-down enablement with inner spacer
Grant 10,332,977 - Fan , et al.
2019-06-25
Replacement metal gate stack for diffusion prevention
Grant 10,332,971 - Ando , et al.
2019-06-25
Embedded bottom metal contact formed by a self-aligned contact process for vertical transistors
Grant 10,319,835 - Fan , et al.
2019-06-11
Method of forming vertical FinFET device having self-aligned contacts
Grant 10,312,154 - Xie , et al.
2019-06-04
Self-aligned Contacts For Vertical Field Effect Transistor Cell Height Scaling
App 20190088764 - XIE; Ruilong ;   et al.
2019-03-21
Buried Contact To Provide Reduced Vfet Feature-to-feature Tolerance Requirements
App 20190067100 - Fan; Su Chen ;   et al.
2019-02-28
Buried Contact To Provide Reduced Vfet Feature-to-feature Tolerance Requirements
App 20190067101 - Fan; Su Chen ;   et al.
2019-02-28
Reflow interconnect using Ru
Grant 10,217,664 - Clevenger , et al. Feb
2019-02-26
Reflow interconnect using Ru
Grant 10,211,101 - Clevenger , et al. Feb
2019-02-19
Forming Self-Aligned Contact with Spacer First
App 20190027580 - Fan; Su Chen ;   et al.
2019-01-24
Forming self-aligned contact with spacer first
Grant 10,186,599 - Fan , et al. Ja
2019-01-22
Gate Tie-down Enablement With Inner Spacer
App 20180374932 - Fan; Su Chen ;   et al.
2018-12-27
Embedded Bottom Metal Contact Formed By A Self-aligned Contact Process For Vertical Transistors
App 20180337257 - Fan; Su Chen ;   et al.
2018-11-22
Embedded Bottom Metal Contact Formed By A Self-aligned Contact Process For Vertical Transistors
App 20180337260 - Fan; Su Chen ;   et al.
2018-11-22
Self-aligned Contact For Vertical Field Effect Transistor
App 20180337256 - Anderson; Brent A. ;   et al.
2018-11-22
Gate tie-down enablement with inner spacer
Grant 10,128,352 - Fan , et al. November 13, 2
2018-11-13
REFLOW INTERCONNECT USING Ru
App 20180277432 - Clevenger; Lawrence A. ;   et al.
2018-09-27
REFLOW INTERCONNECT USING Ru
App 20180277433 - Clevenger; Lawrence A. ;   et al.
2018-09-27
Embedded bottom metal contact formed by a self-aligned contact process for vertical transistors
Grant 10,020,381 - Fan , et al. July 10, 2
2018-07-10
Gate Tie-down Enablement With Inner Spacer
App 20180151433 - Fan; Su Chen ;   et al.
2018-05-31
Stable multiple threshold voltage devices on replacement metal gate CMOS devices
Grant 9,985,027 - Fan , et al. May 29, 2
2018-05-29
Reflow interconnect using Ru
Grant 9,960,078 - Clevenger , et al. May 1, 2
2018-05-01
Replacement gate structures for transistor devices
Grant 9,953,978 - Xie , et al. April 24, 2
2018-04-24
Gate tie-down enablement with inner spacer
Grant 9,941,163 - Fan , et al. April 10, 2
2018-04-10
Gate tie-down enablement with inner spacer
Grant 9,929,049 - Fan , et al. March 27, 2
2018-03-27
Replacement Metal Gate Stack For Diffusion Prevention
App 20180083117 - Ando; Takashi ;   et al.
2018-03-22
Replacement metal gate stack for diffusion prevention
Grant 9,905,665 - Ando , et al. February 27, 2
2018-02-27
Gate tie-down enablement with inner spacer
Grant 9,899,259 - Fan , et al. February 20, 2
2018-02-20
Wimpy and nominal semiconductor device structures for vertical finFETs
Grant 9,881,842 - Chung , et al. January 30, 2
2018-01-30
Gate Tie-down Enablement With Inner Spacer
App 20170372959 - Fan; Su Chen ;   et al.
2017-12-28
Interconnect structure including middle of line (MOL) metal layer local interconnect on ETCH stop layer
Grant 9,786,607 - Fan , et al. October 10, 2
2017-10-10
Gate Tie-down Enablement With Inner Spacer
App 20170278753 - Fan; Su Chen ;   et al.
2017-09-28
Gate tie-down enablement with inner spacer
Grant 9,735,054 - Fan , et al. August 15, 2
2017-08-15
Interconnect structure including middle of line (MOL) metal layer local interconnect on etch stop layer
Grant 9,728,456 - Fan , et al. August 8, 2
2017-08-08
Stable multiple threshold voltage devices on replacement metal gate CMOS devices
Grant 9,728,462 - Fan , et al. August 8, 2
2017-08-08
Local Interconnect Structure Including Non-eroded Contact Via Trenches
App 20170170118 - Fan; Su Chen ;   et al.
2017-06-15
Gate Tie-down Enablement With Inner Spacer
App 20170170070 - Fan; Su Chen ;   et al.
2017-06-15
Gate Tie-down Enablement With Inner Spacer
App 20170162438 - Fan; Su Chen ;   et al.
2017-06-08
Interconnect Structure Including Middle Of Line (mol) Metal Layer Local Interconnect On Etch Stop Layer
App 20170140984 - Fan; Su Chen ;   et al.
2017-05-18
Freestanding spacer having sub-lithographic lateral dimension and method of forming same
Grant 9,653,571 - Chen , et al. May 16, 2
2017-05-16
Gate tie-down enablement with inner spacer
Grant 9,627,257 - Fan , et al. April 18, 2
2017-04-18
Self-aligned Gate Tie-down Contacts With Selective Etch Stop Liner
App 20170092585 - Fan; Su Chen ;   et al.
2017-03-30
Stable Multiple Threshold Voltage Devices On Replacement Metal Gate Cmos Devices
App 20170077098 - Fan; Su Chen ;   et al.
2017-03-16
Interconnect structure including middle of line (MOL) metal layer local interconnect on etch stop layer
Grant 9,583,442 - Fan , et al. February 28, 2
2017-02-28
Contact area structure and method for manufacturing the same
Grant 9,576,901 - Chen , et al. February 21, 2
2017-02-21
Gate Tie-down Enablement With Inner Spacer
App 20170047254 - Fan; Su Chen ;   et al.
2017-02-16
Gate Tie-down Enablement With Inner Spacer
App 20170047252 - Fan; Su Chen ;   et al.
2017-02-16
Self-aligned Gate Tie-down Contacts With Selective Etch Stop Liner
App 20170047418 - Fan; Su Chen ;   et al.
2017-02-16
Self-aligned gate tie-down contacts with selective etch stop liner
Grant 9,570,573 - Fan , et al. February 14, 2
2017-02-14
Local interconnect structure including non-eroded contact via trenches
Grant 9,570,397 - Fan , et al. February 14, 2
2017-02-14
Interconnect Structure Including Middle Of Line (mol) Metal Layer Local Interconnect On Etch Stop Layer
App 20170018459 - Fan; Su Chen ;   et al.
2017-01-19
Stable multiple threshold voltage devices on replacement metal gate CMOS devices
Grant 9,536,791 - Fan , et al. January 3, 2
2017-01-03
Interconnect Structure Including Middle Of Line (mol) Metal Layer Local Interconnect On Etch Stop Layer
App 20160379932 - Fan; Su Chen ;   et al.
2016-12-29
Freestanding Spacer Having Sub-lithographic Lateral Dimension And Method Of Forming Same
App 20160365425 - Chen; Hsueh-Chung ;   et al.
2016-12-15
Stable Multiple Threshold Voltage Devices On Replacement Metal Gate Cmos Devices
App 20160293493 - Fan; Su Chen ;   et al.
2016-10-06
Stable Multiple Threshold Voltage Devices On Replacement Metal Gate Cmos Devices
App 20160293492 - Fan; Su Chen ;   et al.
2016-10-06
Methods of forming a combined gate and source/drain contact structure and the resulting device
Grant 9,455,254 - Xie , et al. September 27, 2
2016-09-27
Gate tie-down enablement with inner spacer
Grant 9,397,049 - Fan , et al. July 19, 2
2016-07-19
Replacement Metal Gate Stack For Diffusion Prevention
App 20160197157 - Ando; Takashi ;   et al.
2016-07-07
STI region for small fin pitch in FinFET devices
Grant 9,385,123 - Chen , et al. July 5, 2
2016-07-05
Methods Of Forming A Combined Gate And Source/drain Contact Structure And The Resulting Device
App 20160133623 - Xie; Ruilong ;   et al.
2016-05-12
Replacement Gate Structures For Transistor Devices
App 20160118385 - Xie; Ruilong ;   et al.
2016-04-28
Replacement metal gate stack for diffusion prevention
Grant 9,312,136 - Ando , et al. April 12, 2
2016-04-12
Integrated multiple gate length semiconductor device including self-aligned contacts
Grant 9,293,551 - Fan , et al. March 22, 2
2016-03-22
Method for single fin cuts using selective ion implants
Grant 9,287,130 - Cai , et al. March 15, 2
2016-03-15
Methods of forming replacement gate structures for transistors and the resulting devices
Grant 9,257,348 - Xie , et al. February 9, 2
2016-02-09
Sti Region For Small Fin Pitch In Finfet Devices
App 20150357328 - Chen; Hsueh-Chung ;   et al.
2015-12-10
Integrated Multiple Gate Length Semiconductor Device Including Self-aligned Contacts
App 20150349075 - Fan; Su Chen ;   et al.
2015-12-03
Sti Region For Small Fin Pitch In Finfet Devices
App 20150340272 - Chen; Hsueh-Chung ;   et al.
2015-11-26
Recessing And Capping Of Gate Structures With Varying Metal Compositions
App 20150340462 - XIE; Ruilong ;   et al.
2015-11-26
Replacement Metal Gate Stack For Diffusion Prevention
App 20150255458 - Ando; Takashi ;   et al.
2015-09-10
Recessing and capping of gate structures with varying metal compositions
Grant 9,130,029 - Xie , et al. September 8, 2
2015-09-08
Borderless Contact For Ultra-thin Body Devices
App 20150155353 - Fan; Su Chen ;   et al.
2015-06-04
Integrated Multiple Gate Length Semiconductor Device Including Self-aligned Contacts
App 20150145057 - Fan; Su Chen ;   et al.
2015-05-28
Borderless contact for ultra-thin body devices
Grant 9,024,389 - Fan , et al. May 5, 2
2015-05-05
Formation of the dielectric cap layer for a replacement gate structure
Grant 8,957,465 - Xie , et al. February 17, 2
2015-02-17
Methods Of Forming Replacement Gate Structures For Transistors And The Resulting Devices
App 20150041905 - Xie; Ruilong ;   et al.
2015-02-12
Formation Of The Dielectric Cap Layer For A Replacement Gate Structure
App 20140299924 - XIE; Ruilong ;   et al.
2014-10-09
Self-aligned contacts
Grant 8,853,076 - Fan , et al. October 7, 2
2014-10-07
Formation of the dielectric cap layer for a replacement gate structure
Grant 8,772,168 - Xie , et al. July 8, 2
2014-07-08
Recessing And Capping Of Gate Structures With Varying Metal Compositions
App 20140159169 - XIE; Ruilong ;   et al.
2014-06-12
Borderless contacts in semiconductor devices
Grant 8,741,752 - Fan , et al. June 3, 2
2014-06-03
Recessing and capping of gate structures with varying metal compositions
Grant 8,679,909 - Xie , et al. March 25, 2
2014-03-25
Method for forming a self-aligned contact opening by a lateral etch
Grant 8,679,968 - Xie , et al. March 25, 2
2014-03-25
Self-aligned Contacts
App 20140070282 - Fan; Su Chen ;   et al.
2014-03-13
Borderless contacts in semiconductor devices
Grant 8,637,908 - Fan , et al. January 28, 2
2014-01-28
Recessing And Capping Of Gate Structures With Varying Metal Compositions
App 20130328111 - Xie; Ruilong ;   et al.
2013-12-12
Borderless Contacts For Metal Gates Through Selective Cap Deposition
App 20130320414 - Fan; Su-Chen ;   et al.
2013-12-05
Borderless Contacts For Metal Gates Through Selective Cap Deposition
App 20130320411 - Fan; Su-Chen ;   et al.
2013-12-05
Method For Forming A Self-aligned Contact Opening By A Lateral Etch
App 20130307087 - Xie; Ruilong ;   et al.
2013-11-21
Formation Of The Dielectric Cap Layer For A Replacement Gate Structure
App 20130187203 - XIE; Ruilong ;   et al.
2013-07-25
Borderless Contact For Ultra-thin Body Devices
App 20130134517 - Fan; Su Chen ;   et al.
2013-05-30
Multi-gate Field-effect Transistors With Variable Fin Heights
App 20130082329 - Chen; Hsueh-Chung ;   et al.
2013-04-04
Multi-gate Field-effect Transistors With Variable Fin Heights
App 20130082333 - Chen; Hsueh-Chung ;   et al.
2013-04-04
Borderless contact for ultra-thin body devices
Grant 8,383,490 - Fan , et al. February 26, 2
2013-02-26
Borderless Contact For Ultra-thin Body Devices
App 20130026570 - Fan; Su Chen ;   et al.
2013-01-31
Borderless Contacts in Semiconductor Devices
App 20130020615 - Fan; Su Chen ;   et al.
2013-01-24
Borderless Contacts in Semiconductor Devices
App 20130023115 - Fan; Su Chen ;   et al.
2013-01-24
Three dimensional IC device and alignment methods of IC device substrates
Grant 8,232,659 - Chen , et al. July 31, 2
2012-07-31
Method of forming self-aligned local interconnect and structure formed thereby
Grant 8,124,525 - Koburger, III , et al. February 28, 2
2012-02-28
Method of forming replacement metal gate with borderless contact and structure thereof
Grant 8,084,311 - Horak , et al. December 27, 2
2011-12-27
Interconnect structure and method of fabricating same
Grant 7,781,892 - Chen , et al. August 24, 2
2010-08-24
Three Dimensional Ic Device And Alignment Methods Of Ic Device Substrates
App 20080157407 - CHEN; Hsueh-Chung ;   et al.
2008-07-03
Three dimensional IC device and alignment methods of IC device substrates
Grant 7,371,663 - Chen , et al. May 13, 2
2008-05-13
Direct printing lithography system and method
App 20070289467 - Chen; Hsueh-Chung ;   et al.
2007-12-20
Wiring structure to minimize stress induced void formation
Grant 7,301,239 - Wang , et al. November 27, 2
2007-11-27
Interconnect structure and method of fabricating same
App 20070145596 - Chen; Hsueh-Chung ;   et al.
2007-06-28
Three dimensional IC device and alignment methods of IC device substrates
App 20070020871 - Chen; Hsueh-Chung ;   et al.
2007-01-25
Copper interconnect structure with modulated topography and method for forming the same
App 20060099786 - Fan; Su-Chen ;   et al.
2006-05-11
Wiring structure to minimize stress induced void formation
App 20060019414 - Wang; Chien-Jung ;   et al.
2006-01-26
Interconnect structure for integrated circuits
App 20050082677 - Fan, Su-Chen ;   et al.
2005-04-21
Pre-treatment for salicide process
App 20040222083 - Fan, Su-Chen
2004-11-11
Pre-treatment for salicide process
Grant 6,743,485 - Fan June 1, 2
2004-06-01
Pre-treatment for salicide process
App 20020112951 - Fan, Su-Chen
2002-08-22
Pre-treatment for salicide process
App 20010006147 - Fan, Su-Chen
2001-07-05

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed