loadpatents
name:-0.073969841003418
name:-0.064471960067749
name:-0.08017897605896
De Silva; Ekmini Anuja Patent Filings

De Silva; Ekmini Anuja

Patent Applications and Registrations

Patent applications and USPTO patent grants for De Silva; Ekmini Anuja.The latest application filed is for "forming self-aligned multi-metal interconnects".

Company Profile
82.55.74
  • De Silva; Ekmini Anuja - Slingerlands NY
  • De Silva; Ekmini Anuja - Singerlands NY
  • De Silva; Ekmini Anuja - Ithaca NY
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Forming Self-aligned Multi-metal Interconnects
App 20220262736 - DUTTA; Ashim ;   et al.
2022-08-18
Creating different width lines and spaces in a metal layer
Grant 11,373,880 - Penny , et al. June 28, 2
2022-06-28
Vertical Field Effect Transistor With Crosslink Fin Arrangement
App 20220199776 - Seshadri; Indira ;   et al.
2022-06-23
Low Capacitance Low Rc Wrap-around-contact
App 20220199787 - Xie; Ruilong ;   et al.
2022-06-23
Forming self-aligned multi-metal interconnects
Grant 11,355,442 - Dutta , et al. June 7, 2
2022-06-07
Staggered Stacked Vertical Crystalline Semiconducting Channels
App 20220149042 - Kang; Tsung-Sheng ;   et al.
2022-05-12
Metal brush layer for EUV patterning
Grant 11,307,496 - De Silva , et al. April 19, 2
2022-04-19
Semiconductor structure with fully aligned vias
Grant 11,302,573 - De Silva , et al. April 12, 2
2022-04-12
Line break repairing layer for extreme ultraviolet patterning stacks
Grant 11,300,881 - Meli Thompson , et al. April 12, 2
2022-04-12
Creating Different Width Lines And Spaces In A Metal Layer
App 20220093414 - Penny; Christopher J ;   et al.
2022-03-24
Staggered stacked vertical crystalline semiconducting channels
Grant 11,251,182 - Kang , et al. February 15, 2
2022-02-15
Litho-etch-litho-etch with self-aligned blocks
Grant 11,239,077 - Liu , et al. February 1, 2
2022-02-01
Placing Top Vias At Line Ends By Selective Growth Of Via Mask From Line Cut Dielectric
App 20220028784 - DUTTA; Ashim ;   et al.
2022-01-27
Using E0 Exposures for Track/Cluster Monitoring
App 20220019139 - Murray; Cody J. ;   et al.
2022-01-20
Partial Wrap Around Top Contact
App 20220020634 - Xie; Ruilong ;   et al.
2022-01-20
Self-priming resist for generic inorganic hardmasks
Grant 11,226,561 - Liu , et al. January 18, 2
2022-01-18
Resist Underlayer Surface Modification
App 20220011670 - Guo; Jing ;   et al.
2022-01-13
Spacer-defined Process For Lithography-etch Double Patterning For Interconnects
App 20220013405 - Felix; Nelson ;   et al.
2022-01-13
Metal Cut Patterning And Etching To Minimize Interlayer Dielectric Layer Loss
App 20220005698 - Chung; Kisup ;   et al.
2022-01-06
Inverse Tone Pillar Printing
App 20210398816 - Felix; Nelson ;   et al.
2021-12-23
Embedded MRAM device with top via
Grant 11,205,678 - Dutta , et al. December 21, 2
2021-12-21
Selective Shrink for Contact Trench
App 20210384306 - Xie; Ruilong ;   et al.
2021-12-09
Back-end-of-line compatible processing for forming an array of pillars
Grant 11,195,995 - Liu , et al. December 7, 2
2021-12-07
Lithography process delay characterization and effective dose compensation
Grant 11,194,254 - Robinson , et al. December 7, 2
2021-12-07
Placing top vias at line ends by selective growth of via mask from line cut dielectric
Grant 11,189,561 - Dutta , et al. November 30, 2
2021-11-30
Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
Grant 11,177,130 - De Silva , et al. November 16, 2
2021-11-16
Spacer-defined process for lithography-etch double patterning for interconnects
Grant 11,164,772 - Felix , et al. November 2, 2
2021-11-02
Dielectric Structure to Prevent Hard Mask Erosion
App 20210335618 - Li; Tao ;   et al.
2021-10-28
Organic Photoresist Adhesion To Metal Oxide Hardmasks
App 20210325784 - Arceo de la Pena; Abraham ;   et al.
2021-10-21
Metal cut patterning and etching to minimize interlayer dielectric layer loss
Grant 11,133,189 - Chung , et al. September 28, 2
2021-09-28
Inverse tone pillar printing method using polymer brush grafts
Grant 11,133,195 - Felix , et al. September 28, 2
2021-09-28
Staggered Stacked Vertical Crystalline Semiconducting Channels
App 20210296314 - Kang; Tsung-Sheng ;   et al.
2021-09-23
Embedded MRAM Device with Top Via
App 20210242277 - Dutta; Ashim ;   et al.
2021-08-05
Semiconductor device with multiple threshold voltages
Grant 11,075,081 - Joseph , et al. July 27, 2
2021-07-27
Dynamic adjustment of post exposure bake during lithography utilizing real-time feedback for wafer exposure delay
Grant 11,067,896 - Murray , et al. July 20, 2
2021-07-20
Back-end-of-line Compatible Processing For Forming An Array Of Pillars
App 20210210679 - Liu; Chi-Chun ;   et al.
2021-07-08
Multi-layer bottom electrode for embedded memory devices
Grant 11,043,628 - Dutta , et al. June 22, 2
2021-06-22
Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
Grant 11,037,786 - De Silva , et al. June 15, 2
2021-06-15
Metal Brush Layer For Euv Patterning
App 20210149298 - De Silva; Ekmini Anuja ;   et al.
2021-05-20
Litho-etch-litho-etch With Self-aligned Blocks
App 20210143013 - Liu; Chi-Chun ;   et al.
2021-05-13
Lithography Process Delay Characterization and Effective Dose Compensation
App 20210132502 - Robinson; Christopher ;   et al.
2021-05-06
Sequential infiltration synthesis extreme ultraviolet single expose patterning
Grant 10,998,192 - De Silva , et al. May 4, 2
2021-05-04
Hard mask films with graded vertical concentration formed using reactive sputtering in a radio frequency deposition chamber
Grant 10,975,464 - De Silva , et al. April 13, 2
2021-04-13
Processes For Forming Fully Aligned Vias
App 20210104432 - De Silva; Ekmini Anuja ;   et al.
2021-04-08
Multi-layer Bottom Electrode For Embedded Memory Devices
App 20210104660 - Dutta; Ashim ;   et al.
2021-04-08
Extreme ultraviolet lithography patterning with directional deposition
Grant 10,957,552 - Xu , et al. March 23, 2
2021-03-23
Placing Top Vias At Line Ends By Selective Growth Of Via Mask From Line Cut Dielectric
App 20210082807 - DUTTA; Ashim ;   et al.
2021-03-18
Sequential Infiltration Synthesis Extreme Ultraviolet Single Expose Patterning
App 20210082697 - De Silva; Ekmini Anuja ;   et al.
2021-03-18
Patterning directly on an amorphous silicon hardmask
Grant 10,950,440 - Arceo de la Pena , et al. March 16, 2
2021-03-16
Extreme ultraviolet (EUV) lithography patterning methods utilizing EUV resist hardening
Grant 10,901,317 - Briggs , et al. January 26, 2
2021-01-26
Controlling active fin height of FinFET device
Grant 10,892,193 - Song , et al. January 12, 2
2021-01-12
Encapsulated memory pillars
Grant 10,886,462 - Dutta , et al. January 5, 2
2021-01-05
Method of forming barrier free contact for metal interconnects
Grant 10,879,107 - Dutta , et al. December 29, 2
2020-12-29
Dynamic Adjustment Of Post Exposure Bake During Lithography Utilizing Real-time Feedback For Wafer Exposure Delay
App 20200379354 - MURRAY; Cody John ;   et al.
2020-12-03
Forming Self-aligned Multi-metal Interconnects
App 20200357748 - DUTTA; Ashim ;   et al.
2020-11-12
Inverse Tone Pillar Printing
App 20200350177 - Felix; Nelson ;   et al.
2020-11-05
Fabrication Of Embedded Memory Devices Utilizing A Self Assembled Monolayer
App 20200328251 - DUTTA; Ashim ;   et al.
2020-10-15
High temperature ultra-fast annealed soft mask for semiconductor devices
Grant 10,804,106 - Ebrish , et al. October 13, 2
2020-10-13
Direct gate metal cut using selective deposition to protect the gate end line from metal shorts
Grant 10,790,372 - Greene , et al. September 29, 2
2020-09-29
Co-optimization of lithographic and etching processes with complementary post exposure bake by laser annealing
Grant 10,768,532 - Sha , et al. Sep
2020-09-08
Controlling active fin height of FinFET device using etch protection layer to prevent recess of isolation layer during gate oxide removal
Grant 10,770,361 - Song , et al. Sep
2020-09-08
Enabling Residue Free Gap Fill Between Nanosheets
App 20200279956 - SESHADRI; Indira ;   et al.
2020-09-03
Selective Encapsulation For Metal Electrodes Of Embedded Memory Devices
App 20200274066 - DUTTA; Ashim ;   et al.
2020-08-27
Fabricating electrically nonconductive blocks using a polymer brush and a sequential infiltration synthesis process
Grant 10,755,928 - Liu , et al. A
2020-08-25
Patterning directly on an amorphous silicon hardmask
Grant 10,755,926 - Arceo de la Pena , et al. A
2020-08-25
Metal cut patterning and etching to minimize interlayer dielectric layer loss
Grant 10,734,234 - Chung , et al.
2020-08-04
Fabricating Electrically Nonconductive Blocks Using A Polymer Brush And A Sequential Infiltration Synthesis Process
App 20200243335 - Liu; Chi-Chun ;   et al.
2020-07-30
Direct Extreme Ultraviolet Lithography On Hard Mask With Reverse Tone
App 20200234957 - MIGNOT; Yann ;   et al.
2020-07-23
Direct Gate Metal Cut Using Selective Deposition To Protect The Gate End Line From Metal Shorts
App 20200227532 - Greene; Andrew ;   et al.
2020-07-16
Controlling Active Fin Height Of Finfet Device Using Etch Protection Layer To Prevent Recess Of Isolation Layer During Gate Oxid
App 20200176332 - Song; Yi ;   et al.
2020-06-04
Controlling active fin height of FinFET device using etch protection layer to prevent recess of isolation layer during gate oxide removal
Grant 10,665,514 - Song , et al.
2020-05-26
Semiconductor device with multiple threshold voltages
Grant 10,665,461 - Joseph , et al.
2020-05-26
Encapsulated Memory Pillars
App 20200161540 - Dutta; Ashim ;   et al.
2020-05-21
Extreme ultraviolet lithography patterning with directional deposition
Grant 10,658,190 - Xu , et al.
2020-05-19
Patterning material film stack with hard mask layer configured to support selective deposition on patterned resist layer
Grant 10,656,527 - De Silva , et al.
2020-05-19
Enabling residue free gap fill between nanosheets
Grant 10,658,521 - Seshadri , et al.
2020-05-19
Spin On Adhesion Promoters
App 20200150532 - GOLDFARB; Dario ;   et al.
2020-05-14
Method Of Forming Barrier Free Contact For Metal Interconnects
App 20200144107 - Dutta; Ashim ;   et al.
2020-05-07
Hard Mask Replenishment For Etching Processes
App 20200135898 - Joseph; Praveen ;   et al.
2020-04-30
Spacer-defined Process For Lithography-etch Double Patterning For Interconnects
App 20200135542 - Felix; Nelson ;   et al.
2020-04-30
Line Break Repairing Layer For Extreme Ultraviolet Patterning Stacks
App 20200124972 - MELI THOMPSON; Luciana ;   et al.
2020-04-23
Low undercut N-P work function metal patterning in nanosheet replacement metal gate process
Grant 10,629,495 - Seshadri , et al.
2020-04-21
Dielectric gap fill evaluation for integrated circuits
Grant 10,622,250 - Chu , et al.
2020-04-14
Gate cut using selective deposition to prevent oxide loss
Grant 10,622,482 - Greene , et al.
2020-04-14
Tone reversal during EUV pattern transfer using surface active layer assisted selective deposition
Grant 10,615,037 - Dutta , et al.
2020-04-07
Semiconductor Device With Multiple Threshold Voltages
App 20200098569 - Joseph; Praveen ;   et al.
2020-03-26
Semiconductor Device With Multiple Threshold Voltages
App 20200098570 - Joseph; Praveen ;   et al.
2020-03-26
Extreme Ultraviolet Lithography Patterning With Directional Deposition
App 20200098581 - Xu; Yongan ;   et al.
2020-03-26
Extreme Ultraviolet Lithography Patterning With Directional Deposition
App 20200098578 - Xu; Yongan ;   et al.
2020-03-26
Patterning Directly On An Amorphous Silicon Hardmask
App 20200090928 - Arceo de la Pena; Abraham ;   et al.
2020-03-19
Tone Reversal During Euv Pattern Transfer Using Surface Active Layer Assisted Selective Deposition
App 20200058501 - Dutta; Ashim ;   et al.
2020-02-20
Patterning Material Film Stack With Hard Mask Layer Configured To Support Selective Deposition On Patterned Resist Layer
App 20200050113 - De Silva; Ekmini Anuja ;   et al.
2020-02-13
Self-priming Resist For Generic Inorganic Hardmasks
App 20200050108 - Liu; Chi-Chun ;   et al.
2020-02-13
Dynamic adjustment of post exposure bake during lithography utilizing real-time feedback for wafer exposure delay
Grant 10,545,409 - Murray , et al. Ja
2020-01-28
Controlling Active Fin Height Of Finfet Device Using Etch Protection Layer To Prevent Recess Of Isolation Layer During Gate Oxid
App 20200027796 - Song; Yi ;   et al.
2020-01-23
Controlling Active Fin Height Of Finfet Device Using Etch Protection Layer To Prevent Recess Of Isolation Layer During Gate Oxid
App 20190385916 - Song; Yi ;   et al.
2019-12-19
Extreme Ultraviolet (euv) Lithography Patterning Methods Utilizing Euv Resist Hardening
App 20190384180 - Briggs; Benjamin D. ;   et al.
2019-12-19
Co-optimization Of Lithographic And Etching Processes With Complementary Post Exposure Bake By Laser Annealing
App 20190354022 - SHA; Jing ;   et al.
2019-11-21
Enabling Residue Free Gap Fill Between Nanosheets
App 20190355851 - SESHADRI; Indira ;   et al.
2019-11-21
Inverse Tone Direct Print Euv Lithography Enabled By Selective Material Deposition
App 20190355625 - JOSEPH; Praveen ;   et al.
2019-11-21
Hard Mask Films With Graded Vertical Concentration Formed Using Reactive Sputtering In A Radio Frequency Deposition Chamber
App 20190309410 - De Silva; Ekmini Anuja ;   et al.
2019-10-10
Patterning Material Film Stack With Metal-containing Top Coat For Enhanced Sensitivity In Extreme Ultraviolet (euv) Lithography
App 20190267234 - De Silva; Ekmini Anuja ;   et al.
2019-08-29
Patterning material film stack comprising hard mask layer having high metal content interface to resist layer
Grant 10,395,925 - De Silva , et al. A
2019-08-27
Gate Cut Using Selective Deposition To Prevent Oxide Loss
App 20190259665 - Greene; Andrew M. ;   et al.
2019-08-22
High Temperature Ultra-fast Annealed Soft Mask For Semiconductor Devices
App 20190259616 - Ebrish; Mona ;   et al.
2019-08-22
Patterning Material Film Stack With Metal-containing Top Coat For Enhanced Sensitivity In Extreme Ultraviolet (euv) Lithography
App 20190259601 - De Silva; Ekmini Anuja ;   et al.
2019-08-22
Undercut control in isotropic wet etch processes
Grant 10,374,034 - Liu , et al.
2019-08-06
Simplified block patterning with wet strippable hardmask for high-energy implantation
Grant 10,354,922 - De Silva , et al. July 16, 2
2019-07-16
Low Undercut N-p Work Function Metal Patterning In Nanosheet Replacement Metal Gate Process
App 20190214311 - Seshadri; Indira ;   et al.
2019-07-11
Gate cut using selective deposition to prevent oxide loss
Grant 10,347,540 - Greene , et al. July 9, 2
2019-07-09
Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
Grant 10,347,486 - De Silva , et al. July 9, 2
2019-07-09
Patterning Material Film Stack Comprising Hard Mask Layer Having High Metal Content Interface To Resist Layer
App 20190206681 - De Silva; Ekmini Anuja ;   et al.
2019-07-04
Patterning Material Film Stack With Hard Mask Layer Configured To Support Selective Deposition On Patterned Resist Layer
App 20190196340 - De Silva; Ekmini Anuja ;   et al.
2019-06-27
Extreme Ultraviolet (euv) Lithography Patterning Methods Utilizing Euv Resist Hardening
App 20190198325 - Briggs; Benjamin D. ;   et al.
2019-06-27
Simplified Block Patterning With Wet Strippable Hardmask For High-energy Implantation
App 20190198398 - De Silva; Ekmini Anuja ;   et al.
2019-06-27
Metal Cut Patterning And Etching To Minimize Interlayer Dielectric Layer Loss
App 20190198327 - Chung; Kisup ;   et al.
2019-06-27
Gate Cut Using Selective Deposition To Prevent Oxide Loss
App 20190189782 - Greene; Andrew M. ;   et al.
2019-06-20
Dielectric Gap Fill Evaluation For Integrated Circuits
App 20190189504 - Chu; Isabel Cristina ;   et al.
2019-06-20
Dielectric Gap Fill Evaluation For Integrated Circuits
App 20190189503 - Chu; Isabel Cristina ;   et al.
2019-06-20
Patterning Material Film Stack With Metal-containing Top Coat For Enhanced Sensitivity In Extreme Ultraviolet (euv) Lithography
App 20190189428 - De Silva; Ekmini Anuja ;   et al.
2019-06-20
Metal Cut Patterning And Etching To Minimize Interlayer Dielectric Layer Loss
App 20190189452 - Chung; Kisup ;   et al.
2019-06-20
Dielectric gap fill evaluation for integrated circuits
Grant 10,312,140 - Chu , et al.
2019-06-04
Inverse tone direct print EUV lithography enabled by selective material deposition
Grant 10,304,744 - Joseph , et al.
2019-05-28
Patterning Directly On An Amorphous Silicon Hardmask
App 20190157072 - Arceo de la Pena; Abraham ;   et al.
2019-05-23
Low undercut N-P work function metal patterning in nanosheet replacement metal gate process
Grant 10,276,452 - Seshadri , et al.
2019-04-30
Photoresist Patterning On Silicon Nitride
App 20190101829 - Seshadri; Indira P. ;   et al.
2019-04-04
Uniform bottom spacer for vertical field effect transistor
Grant 10,170,582 - Belyansky , et al. J
2019-01-01
Molecular glass photoresists
Grant 7,452,658 - De Silva , et al. November 18, 2
2008-11-18
Molecular glass photoresists
App 20080044757 - De Silva; Ekmini Anuja ;   et al.
2008-02-21

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed