loadpatents
name:-0.18673801422119
name:-0.16129803657532
name:-0.037468910217285
Colburn; Matthew E. Patent Filings

Colburn; Matthew E.

Patent Applications and Registrations

Patent applications and USPTO patent grants for Colburn; Matthew E..The latest application filed is for "substituted mono- and poly-phenyl-core monomers and polymers thereof for volume bragg gratings".

Company Profile
44.157.161
  • Colburn; Matthew E. - Woodinville WA
  • COLBURN; Matthew E - Woodinville WA
  • Colburn; Matthew E. - Schenectady NY
  • Colburn; Matthew E. - Albany NY
  • Colburn; Matthew E. - Armonk NY US
  • Colburn; Matthew E. - Yorktown Heights NY
  • Colburn; Matthew E. - Hopewell Junction NY
  • Colburn; Matthew E - Hopewell Junction NY
  • Colburn; Matthew E. - Schenectedy NY US
  • COLBURN; MATTHEW E. - Schenecctady NY
  • Colburn; Matthew E. - Danbury CT
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
In-field illumination for eye tracking
Grant 11,455,031 - Sharma , et al. September 27, 2
2022-09-27
Fabrication of self-aligned grating elements with high refractive index for waveguide displays
Grant 11,448,806 - Colburn , et al. September 20, 2
2022-09-20
Nanoimprint lithography material with switchable mechanical properties
Grant 11,415,880 - Lane , et al. August 16, 2
2022-08-16
Gratings with variable depths formed using planarization for waveguide displays
Grant 11,402,578 - Colburn , et al. August 2, 2
2022-08-02
Substituted Mono- And Poly-phenyl-core Monomers And Polymers Thereof For Volume Bragg Gratings
App 20220153693 - PURVIS, II; Lafe Joseph ;   et al.
2022-05-19
Substituted Propane-core Monomers And Polymers Thereof For Volume Bragg Gratings
App 20220153895 - PURVIS, II; Lafe Joseph ;   et al.
2022-05-19
Corrective Optics For Reducing Fixed Pattern Noise In Head Mounted Displays
App 20220128820 - Geng; Ying ;   et al.
2022-04-28
Nanoimprint Lithography Processes For Switching Mechanical Properties Of Imprint Materials
App 20220128903 - Lane; Austin ;   et al.
2022-04-28
Corrective optics for reducing fixed pattern noise in head mounted displays
Grant 11,281,008 - Geng , et al. March 22, 2
2022-03-22
Gray-tone Lithography For Precise Control Of Grating Etch Depth
App 20220082936 - FRANKE; Elliott ;   et al.
2022-03-17
Techniques For Manufacturing Variable Etch Depth Gratings Using Gray-tone Lithography
App 20220082739 - FRANKE; Elliott ;   et al.
2022-03-17
Duty Cycle Range Increase For Waveguide Combiners
App 20220075109 - MOHANTY; Nihar Ranjan ;   et al.
2022-03-10
Waveguides with high refractive index gratings manufactured by post-patterning infusion
Grant 11,262,495 - Colburn , et al. March 1, 2
2022-03-01
Nanoimprint lithography processes for switching mechanical properties of imprint materials
Grant 11,249,393 - Lane , et al. February 15, 2
2022-02-15
High refractive index gratings for waveguide displays manufactured by self-aligned stacked process
Grant 11,249,242 - Colburn , et al. February 15, 2
2022-02-15
Nanoimprint Lithography Process Using Low Surface Energy Mask
App 20220026799 - Lane; Austin ;   et al.
2022-01-27
Gratings with variable etch heights for waveguide displays
Grant 11,175,455 - Colburn , et al. November 16, 2
2021-11-16
Nanoimprint lithography process using low surface energy mask
Grant 11,156,913 - Lane , et al. October 26, 2
2021-10-26
Duty cycle range increase for waveguide combiners
Grant 11,150,394 - Mohanty , et al. October 19, 2
2021-10-19
Gratings With Variable Depths For Waveguide Displays
App 20210294006 - Colburn; Matthew E. ;   et al.
2021-09-23
Self Aligned Pattern Formation Post Spacer Etchback In Tight Pitch Configurations
App 20210280422 - Burns; Sean D. ;   et al.
2021-09-09
Gratings with variable depths for waveguide displays
Grant 11,067,726 - Colburn , et al. July 20, 2
2021-07-20
Tunable shrinkage process for manufacturing gratings
Grant 11,035,988 - Colburn , et al. June 15, 2
2021-06-15
Aromatic Substituted Alkane-core Monomers And Polymers Thereof For Volume Bragg Gratings
App 20210155599 - PURVIS; Lafe ;   et al.
2021-05-27
Anthraquinone Derivatized Monomers And Polymers For Volume Bragg Gratings
App 20210155585 - PURVIS; Lafe ;   et al.
2021-05-27
Aromatic Substituted Methane-core Monomers And Polymers Thereof For Volume Bragg Gratings
App 20210155584 - PURVIS; Lafe ;   et al.
2021-05-27
Aromatic Substituted Ethane-core Monomers And Polymers Thereof For Volume Bragg Gratings
App 20210155581 - PURVIS; Lafe ;   et al.
2021-05-27
Thiophosphate And Phosphine Sulfide Derivatized Monomers And Polymers For Volume Bragg Gratings
App 20210155639 - LANE; Austin ;   et al.
2021-05-27
Self aligned pattern formation post spacer etchback in tight pitch configurations
Grant 11,018,007 - Burns , et al. May 25, 2
2021-05-25
Diffraction grating with a variable refractive index formed using an energy gradient
Grant 10,996,382 - Calafiore , et al. May 4, 2
2021-05-04
Fabrication of self-aligned grating elements with high refractive index for waveguide displays
Grant 10,983,257 - Colburn , et al. April 20, 2
2021-04-20
Variable-etch-depth gratings
Grant 10,976,483 - Vora , et al. April 13, 2
2021-04-13
Transparent Illumination Layer With Transparent Waveguide Structure
App 20210103087 - Wei; Guohua ;   et al.
2021-04-08
Nanoparticle dispersion for increasing optical index of refraction
Grant 10,962,703 - Lane , et al. March 30, 2
2021-03-30
Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs
Grant 10,957,583 - Burns , et al. March 23, 2
2021-03-23
Anti-refraction cancelling prism for multi-beam interference lithography exposure
Grant 10,914,944 - Leibovici , et al. February 9, 2
2021-02-09
Diffraction grating with a variable refractive index using ion implantation
Grant 10,895,671 - Calafiore , et al. January 19, 2
2021-01-19
Manufacturing three-dimensional diffraction gratings by selective deposition or selective etching
Grant 10,838,121 - Mohanty , et al. November 17, 2
2020-11-17
Fluorene Derivatized Monomers And Polymers For Volume Bragg Gratings
App 20200354311 - LANE; Austin ;   et al.
2020-11-12
Spatial Deposition Of Resins With Different Functionality
App 20200356050 - Lane; Austin ;   et al.
2020-11-12
Spatial Deposition Of Resins With Different Functionality On Different Substrates
App 20200355862 - Lane; Austin ;   et al.
2020-11-12
Light-activated Controlled Radical Polymerization
App 20200356049 - Lane; Austin ;   et al.
2020-11-12
Thermally Reversible And Reorganizable Crosslinking Polymers For Volume Bragg Gratings
App 20200354594 - LANE; Austin ;   et al.
2020-11-12
Latent Imaging For Volume Bragg Gratings
App 20200354496 - LANE; Austin ;   et al.
2020-11-12
Thianthrene Derivatized Monomers And Polymers For Volume Bragg Gratings
App 20200355997 - LANE; Austin ;   et al.
2020-11-12
Diffraction grating with a variable refractive index using multiple resins
Grant 10,823,887 - Calafiore , et al. November 3, 2
2020-11-03
Gratings With Variable Depths Formed Using Planarization For Waveguide Displays
App 20200333531 - Colburn; Matthew E. ;   et al.
2020-10-22
Corrective Optics For Reducing Fixed Pattern Noise In Head Mounted Displays
App 20200271937 - Geng; Ying ;   et al.
2020-08-27
Variable-etch-depth Gratings
App 20200271850 - VORA; Ankit ;   et al.
2020-08-27
Curable Formulation With High Refractive Index And Its Application In Surface Relief Grating Using Nanoimprinting Lithography
App 20200249568 - Kind Code
2020-08-06
Curable Formulation With High Refractive Index And Its Application In Surface Relief Grating Using Nanoimprinting Lithography
App 20200247073 - Kind Code
2020-08-06
Duty Cycle Range Increase For Waveguide Combiners
App 20200249386 - Kind Code
2020-08-06
Gratings with variable depths formed using planarization for waveguide displays
Grant 10,732,351 - Colburn , et al.
2020-08-04
Fabricating of diffraction grating by ion beam etching
Grant 10,712,481 - Mohanty , et al.
2020-07-14
Variable neutral density filter for multi-beam interference lithography exposure
Grant 10,712,670 - Leibovici , et al.
2020-07-14
Corrective optics for reducing fixed pattern noise in head mounted displays
Grant 10,684,482 - Geng , et al.
2020-06-16
Gratings with variable etch heights for waveguide displays
Grant 10,649,141 - Colburn , et al.
2020-05-12
High refractive index gratings for waveguide displays manufactured by self-aligned stacked process
Grant 10,613,268 - Colburn , et al.
2020-04-07
Self Aligned Pattern Formation Post Spacer Etchback In Tight Pitch Configurations
App 20200075336 - Burns; Sean D. ;   et al.
2020-03-05
Nanoimprint lithography system and method
Grant 10,569,449 - Curts , et al. Feb
2020-02-25
Bragg-like Gratings On High Refractive Index Material
App 20200033530 - Colburn; Matthew E. ;   et al.
2020-01-30
Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs
Grant 10,546,774 - Burns , et al. Ja
2020-01-28
Gray-tone electron-beam lithography
Grant 10,534,115 - Calafiore , et al. Ja
2020-01-14
Self aligned pattern formation post spacer etchback in tight pitch configurations
Grant 10,529,569 - Burns , et al. J
2020-01-07
Self-aligned Quadruple Patterning (saqp) For Routing Layouts Including Multi-track Jogs
App 20190393082 - Burns; Sean D. ;   et al.
2019-12-26
Variable neutral density filter for multi-beam interference lithography exposure
Grant 10,509,327 - Leibovici , et al. Dec
2019-12-17
Nanoimprint Lithography Material With Switchable Mechanical Properties
App 20190346760 - Lane; Austin ;   et al.
2019-11-14
Nanoimprint Lithography Processes For Switching Mechanical Properties Of Imprint Materials
App 20190346759 - Lane; Austin ;   et al.
2019-11-14
Nanoimprint Lithography Process Using Low Surface Energy Mask
App 20190346761 - Lane; Austin ;   et al.
2019-11-14
Gratings With Variable Depths For Waveguide Displays
App 20190324176 - Colburn; Matthew E. ;   et al.
2019-10-24
Gratings With Variable Depths Formed Using Planarization For Waveguide Displays
App 20190324202 - Colburn; Matthew E. ;   et al.
2019-10-24
Self aligned conductive lines with relaxed overlay
Grant 10,395,985 - Burns , et al. A
2019-08-27
Manufacturing three-dimensional diffraction gratings by selective deposition or selective etching
Grant 10,274,651 - Mohanty , et al.
2019-04-30
Self Aligned Pattern Formation Post Spacer Etchback In Tight Pitch Configurations
App 20180350599 - Burns; Sean D. ;   et al.
2018-12-06
Self aligned pattern formation post spacer etchback in tight pitch configurations
Grant 10,121,661 - Burns , et al. November 6, 2
2018-11-06
Corrective Optics For Reducing Fixed Pattern Noise In Head Mounted Displays
App 20180292656 - Geng; Ying ;   et al.
2018-10-11
Self aligned conductive lines with relaxed overlay
Grant 10,083,864 - Burns , et al. September 25, 2
2018-09-25
Self-aligned Quadruple Patterning (saqp) For Routing Layouts Including Multi-track Jogs
App 20180233403 - Burns; Sean D. ;   et al.
2018-08-16
Self Aligned Conductive Lines With Relaxed Overlay
App 20180233408 - Burns; Sean D. ;   et al.
2018-08-16
Self Aligned Pattern Formation Post Spacer Etchback In Tight Pitch Configurations
App 20180197738 - Burns; Sean D. ;   et al.
2018-07-12
Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs
Grant 9,991,156 - Burns , et al. June 5, 2
2018-06-05
Aligning conductive vias with trenches
Grant 9,972,533 - Burns , et al. May 15, 2
2018-05-15
Self aligned pattern formation post spacer etchback in tight pitch configurations
Grant 9,934,970 - Burns , et al. April 3, 2
2018-04-03
Aligning Conductive Vias With Trenches
App 20180025943 - Burns; Sean D. ;   et al.
2018-01-25
Self Aligned Conductive Lines With Relaxed Overlay
App 20180005885 - Burns; Sean D. ;   et al.
2018-01-04
Self aligned conductive lines
Grant 9,852,946 - Burns , et al. December 26, 2
2017-12-26
Self Aligned Conductive Lines
App 20170358492 - Burns; Sean D. ;   et al.
2017-12-14
Self-aligned quadruple patterning process
Grant 9,842,737 - Colburn , et al. December 12, 2
2017-12-12
Self-aligned Quadruple Patterning (saqp) For Routing Layouts Including Multi-track Jogs
App 20170352585 - Burns; Sean D. ;   et al.
2017-12-07
Method and structure for cut material selection
Grant 9,779,944 - Burns , et al. October 3, 2
2017-10-03
Aligning conductive vias with trenches
Grant 9,773,700 - Burns , et al. September 26, 2
2017-09-26
Graphoepitaxy directed self-assembly process for semiconductor fin formation
Grant 9,659,824 - Cheng , et al. May 23, 2
2017-05-23
Self aligned conductive lines with relaxed overlay
Grant 9,607,886 - Burns , et al. March 28, 2
2017-03-28
Fin trimming in a double sit process
Grant 9,601,345 - Cheng , et al. March 21, 2
2017-03-21
Method to harden photoresist for directed self-assembly processes
Grant 9,563,122 - Cheng , et al. February 7, 2
2017-02-07
Method To Harden Photoresist For Directed Self-assembly Processes
App 20160320701 - Cheng; Joy ;   et al.
2016-11-03
Graphoepitaxy Directed Self-assembly Process For Semiconductor Fin Formation
App 20160322259 - Cheng; Joy ;   et al.
2016-11-03
Fin Trimming In A Double Sit Process
App 20160284558 - Cheng; Kangguo ;   et al.
2016-09-29
Integration of dense and variable pitch fin structures
Grant 9,378,972 - Cheng , et al. June 28, 2
2016-06-28
Self-aligned Quadruple Patterning Process
App 20160163600 - COLBURN; Matthew E. ;   et al.
2016-06-09
Self-aligned quadruple patterning process
Grant 9,305,845 - Colburn , et al. April 5, 2
2016-04-05
Self-aligned Quadruple Patterning Process
App 20160071771 - COLBURN; Matthew E. ;   et al.
2016-03-10
Grapho-epitaxy DSA process with dimension control of template pattern
Grant 9,123,658 - Abdallah , et al. September 1, 2
2015-09-01
Integration of dense and variable pitch fin structures
Grant 9,087,792 - Cheng , et al. July 21, 2
2015-07-21
Integration Of Dense And Variable Pitch Fin Structures
App 20150170927 - Cheng; Kangguo ;   et al.
2015-06-18
Process of multiple exposures with spin castable films
Grant 9,058,997 - Burkhardt , et al. June 16, 2
2015-06-16
Integration of dense and variable pitch fin structures
Grant 9,040,371 - Cheng , et al. May 26, 2
2015-05-26
Methods of forming nanoparticles using semiconductor manufacturing infrastructure
Grant 8,986,596 - Cheng , et al. March 24, 2
2015-03-24
Integration Of Dense And Variable Pitch Fin Structures
App 20150041812 - Cheng; Kangguo ;   et al.
2015-02-12
Integration Of Dense And Variable Pitch Fin Structures
App 20150041958 - Cheng; Kangguo ;   et al.
2015-02-12
Interconnect structures with engineered dielectrics with nanocolumnar porosity
Grant 8,901,741 - Colburn , et al. December 2, 2
2014-12-02
Sidewall image transfer process
Grant 8,883,649 - Yin , et al. November 11, 2
2014-11-11
Grapho-epitaxy Dsa Process With Dimension Control Of Template Pattern
App 20140322917 - Abdallah; Jassem A. ;   et al.
2014-10-30
Grapho-epitaxy Dsa Process With Dimension Control Of Template Pattern
App 20140315390 - ABDALLAH; JASSEM A. ;   et al.
2014-10-23
DSA grapho-epitaxy process with etch stop material
Grant 8,859,433 - Abdallah , et al. October 14, 2
2014-10-14
Grapho-epitaxy DSA process with dimension control of template pattern
Grant 8,853,085 - Abdallah , et al. October 7, 2
2014-10-07
Dsa Grapho-epitaxy Process With Etch Stop Material
App 20140256145 - ABDALLAH; JASSEM A. ;   et al.
2014-09-11
Circuit structure with low dielectric constant regions
Grant 8,772,941 - Clevenger , et al. July 8, 2
2014-07-08
Methods Of Forming Nanoparticles Using Semiconductor Manufacturing Infrastructure
App 20140138863 - Cheng; Joy ;   et al.
2014-05-22
Pneumatic method and apparatus for nano imprint lithography having a conforming mask
Grant 8,721,952 - Colburn , et al. May 13, 2
2014-05-13
Simultaneous photoresist development and neutral polymer layer formation
Grant 8,715,917 - Holmes , et al. May 6, 2
2014-05-06
Simultaneous Photoresist Development And Neutral Polymer Layer Formation
App 20140099583 - Holmes; Steven J. ;   et al.
2014-04-10
Methods of directed self-assembly, and layered structures formed therefrom
Grant 8,623,458 - Cheng , et al. January 7, 2
2014-01-07
Method and material for a thermally crosslinkable random copolymer
Grant 8,609,238 - Colburn , et al. December 17, 2
2013-12-17
Method of fabricating dual damascene structures using a multilevel multiple exposure patterning scheme
Grant 8,536,031 - Arnold , et al. September 17, 2
2013-09-17
Electrical fuses and resistors having sublithographic dimensions
Grant 8,513,769 - Black , et al. August 20, 2
2013-08-20
Method of forming a semiconductor device having a cut-way hole to expose a portion of a hardmask layer
Grant 8,507,346 - Burkhardt , et al. August 13, 2
2013-08-13
Selectively coated self-aligned mask
Grant 8,491,987 - Colburn , et al. July 23, 2
2013-07-23
Wafer Fill Patterns And Uses
App 20130181267 - Burkhardt; Martin ;   et al.
2013-07-18
Tone Inversion With Partial Underlayer Etch For Semiconductor Device Formation
App 20130175658 - Arnold; John C. ;   et al.
2013-07-11
Tone inversion with partial underlayer etch for semiconductor device formation
Grant 8,470,711 - Arnold , et al. June 25, 2
2013-06-25
Method for forming an interconnect structure
Grant 8,435,884 - Kim , et al. May 7, 2
2013-05-07
Method of fabricating dual damascene structures using a multilevel multiple exposure patterning scheme
App 20130026639 - Arnold; John C. ;   et al.
2013-01-31
Method for reducing tip-to-tip spacing between lines
Grant 8,361,704 - Colburn , et al. January 29, 2
2013-01-29
Interconnect structures with engineered dielectrics with nanocolumnar porosity
Grant 8,358,011 - Colburn , et al. January 22, 2
2013-01-22
Interconnect Structures With Engineered Dielectrics With Nanocolumnar Porosity
App 20130009315 - Colburn; Matthew E. ;   et al.
2013-01-10
Device and methodology for reducing effective dielectric constant in semiconductor devices
Grant 8,343,868 - Edelstein , et al. January 1, 2
2013-01-01
Interconnect structures with ternary patterned features generated from two lithographic processes
Grant 8,338,952 - Colburn , et al. December 25, 2
2012-12-25
Pneumatic Method And Apparatus For Nano Imprint Lithography Having A Conforming Mask
App 20120321740 - Colburn; Matthew E. ;   et al.
2012-12-20
Functionalized carbosilane polymers and photoresist compositions containing the same
Grant 8,334,088 - Allen , et al. December 18, 2
2012-12-18
Sidewall Image Transfer Process Employing A Cap Material Layer For A Metal Nitride Layer
App 20120282779 - Arnold; John C. ;   et al.
2012-11-08
Sidewall image transfer process employing a cap material layer for a metal nitride layer
Grant 8,298,954 - Arnold , et al. October 30, 2
2012-10-30
Interconnect Structures With Engineered Dielectrics With Nanocolumnar Porosity
App 20120261823 - Colburn; Matthew E. ;   et al.
2012-10-18
Sidewall Image Transfer Process
App 20120244711 - Yin; Yunpeng ;   et al.
2012-09-27
Process of Multiple Exposures With Spin Castable Films
App 20120214311 - Burkhardt; Martin ;   et al.
2012-08-23
Step and Flash Imprint Lithography
App 20120133078 - Willson; Carlton Grant ;   et al.
2012-05-31
Tone Inversion With Partial Underlayer Etch
App 20120126358 - Arnold; John C. ;   et al.
2012-05-24
Wafer Fill Patterns And Uses
App 20120126294 - Burkhardt; Martin ;   et al.
2012-05-24
Chemical trim of photoresist lines by means of a tuned overcoat
Grant 8,137,893 - Burns , et al. March 20, 2
2012-03-20
Method and system for tone inverting of residual layer tolerant imprint lithography
Grant 8,137,996 - Colburn , et al. March 20, 2
2012-03-20
Method and system for tone inverting of residual layer tolerant imprint lithography
Grant 8,137,997 - Colburn , et al. March 20, 2
2012-03-20
Method For Forming An Interconnect Structure
App 20120058640 - Kim; Ryoung-Han ;   et al.
2012-03-08
Reducing effective dielectric constant in semiconductor devices
Grant 8,129,286 - Edelstein , et al. March 6, 2
2012-03-06
Method for producing self-aligned mask, articles produced by same and composition for same
Grant 8,119,322 - Brunner , et al. February 21, 2
2012-02-21
Mask and etch process for pattern assembly
Grant 8,119,531 - Arnold , et al. February 21, 2
2012-02-21
Method for removing residues from a patterned substrate
Grant 8,053,368 - Burns , et al. November 8, 2
2011-11-08
Method And Material For A Thermally Crosslinkable Random Copolymer
App 20110256359 - Colburn; Matthew E. ;   et al.
2011-10-20
Integrated circuits and methods of design and manufacture thereof
Grant 8,039,203 - Wang , et al. October 18, 2
2011-10-18
Device for holding a template for use in imprint lithography
Grant 8,033,814 - Bailey , et al. October 11, 2
2011-10-11
Method and material for a thermally crosslinkable random copolymer
Grant 8,017,194 - Colburn , et al. September 13, 2
2011-09-13
Method Of Fabricating Dual Damascene Structures Using A Multilevel Multiple Exposure Patterning Scheme
App 20110204523 - Arnold; John C. ;   et al.
2011-08-25
Dual exposure track only pitch split process
Grant 7,994,060 - Burns , et al. August 9, 2
2011-08-09
Method for fabricating self-aligned nanostructure using self-assembly block copolymers, and structures fabricated therefrom
Grant 7,993,816 - Black , et al. August 9, 2
2011-08-09
Line ends forming
Grant 7,993,815 - Colburn , et al. August 9, 2
2011-08-09
Method for fabricating dual damascene structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascene patterning
Grant 7,982,312 - Colburn , et al. July 19, 2
2011-07-19
Method To Generate Airgaps With A Template First Scheme And A Self Aligned Blockout Mask And Structure
App 20110163446 - Nitta; Satyanarayana Venkata ;   et al.
2011-07-07
Process for interfacial adhesion in laminate structures through patterned roughing of a surface
Grant 7,972,965 - Cooney, III , et al. July 5, 2
2011-07-05
Methods Of Directed Self-assembly, And Layered Structures Formed Therefrom
App 20110147984 - CHENG; Joy ;   et al.
2011-06-23
Chemical Trim of Photoresist Lines by Means of A Tuned Overcoat
App 20110129652 - Burns; Sean David ;   et al.
2011-06-02
Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
Grant 7,948,051 - Colburn , et al. May 24, 2
2011-05-24
Device And Methodology For Reducing Effective Dielectric Constant In Semiconductor Devices
App 20110111590 - Edelstein; Daniel C. ;   et al.
2011-05-12
Dual Exposure Track Only Pitch Split Process
App 20110049680 - Burns; Sean D. ;   et al.
2011-03-03
Functionalized Carbosilane Polymers and Photoresist Compositions Containing the Same
App 20110045407 - Allen; Robert D. ;   et al.
2011-02-24
Device and methodology for reducing effective dielectric constant in semiconductor devices
Grant 7,892,940 - Edelstein , et al. February 22, 2
2011-02-22
Functionalized carbosilane polymers and photoresist compositions containing the same
Grant 7,883,828 - Allen , et al. February 8, 2
2011-02-08
Method and apparatus for direct referencing of top surface of workpiece during imprint lithography
Grant 7,883,832 - Colburn , et al. February 8, 2
2011-02-08
Method for fabricating dual damascene structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascene patterning
Grant 7,862,989 - Colburn , et al. January 4, 2
2011-01-04
Chemical trim of photoresist lines by means of a tuned overcoat material
Grant 7,862,982 - Burns , et al. January 4, 2
2011-01-04
Structure for stochastic integrated circuit personalization
Grant 7,838,873 - Clevenger , et al. November 23, 2
2010-11-23
Method for fabricating dual damascene structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascene patterning
Grant 7,837,459 - Colburn , et al. November 23, 2
2010-11-23
Electrical Fuses And Resistors Having Sublithographic Dimensions
App 20100283121 - Black; Charles T. ;   et al.
2010-11-11
Functionalized carbosilane polymers and photoresist compositions containing the same
Grant 7,824,845 - Allen , et al. November 2, 2
2010-11-02
Method And System For Tone Inverting Of Residual Layer Tolerant Imprint Lithography
App 20100230385 - Colburn; Matthew E. ;   et al.
2010-09-16
Method And System For Tone Inverting Of Residual Layer Tolerant Imprint Lithography
App 20100230048 - Colburn; Matthew E. ;   et al.
2010-09-16
Method and materials for patterning a neutral surface
Grant 7,790,350 - Breyta , et al. September 7, 2
2010-09-07
Method and system for tone inverting of residual layer tolerant imprint lithography
Grant 7,776,628 - Colburn , et al. August 17, 2
2010-08-17
Cut-and-paste imprint lithographic mold and method therefor
Grant 7,776,709 - Colburn , et al. August 17, 2
2010-08-17
Method For Reducing Tip-to-tip Spacing Between Lines
App 20100178615 - COLBURN; MATTHEW E. ;   et al.
2010-07-15
Gate patterning scheme with self aligned independent gate etch
Grant 7,749,903 - Halle , et al. July 6, 2
2010-07-06
Electrical fuses and resistors having sublithographic dimensions
Grant 7,741,721 - Black , et al. June 22, 2
2010-06-22
Method for fabricating dual damascene structures
Grant 7,727,708 - Colburn , et al. June 1, 2
2010-06-01
Device for holding a template for use in imprint lithography
Grant 7,708,542 - Bailey , et al. May 4, 2
2010-05-04
Microelectronic circuit structure with layered low dielectric constant regions
Grant 7,692,308 - Clevenger , et al. April 6, 2
2010-04-06
Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
Grant 7,687,913 - Chakrapani , et al. March 30, 2
2010-03-30
Metal capping process for BEOL interconnect with air gaps
Grant 7,666,753 - Bonilla , et al. February 23, 2
2010-02-23
Development or removal of block copolymer or PMMA-b-S-based resist using polar supercritical solvent
Grant 7,645,694 - Colburn , et al. January 12, 2
2010-01-12
Chemical Trim Of Photoresist Lines By Means Of A Tuned Overcoat Material
App 20090311490 - Burns; Sean David ;   et al.
2009-12-17
Interconnect Structures With Ternary Patterned Features Generated From Two Lithographic Processes
App 20090294982 - Colburn; Matthew E. ;   et al.
2009-12-03
Method For Removing Residues From A Patterned Substrate
App 20090246958 - Burns; Sean D. ;   et al.
2009-10-01
Device and methodology for reducing effective dielectric constant in semiconductor devices
Grant 7,592,685 - Edelstein , et al. September 22, 2
2009-09-22
Method For Fabricating Self-aligned Nanostructure Using Self-assembly Block Copolymers, And Structures Fabricated Therefrom
App 20090233236 - Black; Charles T. ;   et al.
2009-09-17
Imprint Lithography Templates Having Alignment Marks
App 20090214689 - Bailey; Todd C. ;   et al.
2009-08-27
Method for fabricating dual damascene structures
Grant 7,579,137 - Colburn , et al. August 25, 2
2009-08-25
Gate Patterning Scheme With Self Aligned Independent Gate Etch
App 20090203200 - Halle; Scott D. ;   et al.
2009-08-13
Method And Material For A Thermally Crosslinkable Random Copolymer
App 20090186234 - Colburn; Matthew E. ;   et al.
2009-07-23
Structure and method for stochastic integrated circuit personalization
Grant 7,544,578 - Clevenger , et al. June 9, 2
2009-06-09
Method and structure for ultra narrow crack stop for multilevel semiconductor device
Grant 7,544,602 - Clevenger , et al. June 9, 2
2009-06-09
Process of multiple exposures with spin castable film
App 20090104566 - Burkhardt; Martin ;   et al.
2009-04-23
Method of producing self-aligned mask in conjunction with blocking mask, articles produced by same and composition for same
Grant 7,517,637 - Colburn , et al. April 14, 2
2009-04-14
Selective thin metal cap process
Grant 7,514,361 - Bonilla , et al. April 7, 2
2009-04-07
Functionalized Carbosilane Polymers And Photoresist Compositions Containing The Same
App 20090081579 - Allen; Robert D. ;   et al.
2009-03-26
Integrated Circuits and Methods of Design and Manufacture Thereof
App 20090081563 - Wang; Helen ;   et al.
2009-03-26
Functionalized Carbosilane Polymers And Photoresist Compositions Containing The Same
App 20090081585 - Allen; Robert D. ;   et al.
2009-03-26
Functionalized Carbosilane Polymers And Photoresist Compositions Containing The Same
App 20090081597 - Allen; Robert D. ;   et al.
2009-03-26
Functionalized Carbosilane Polymers And Photoresist Compositions Containing The Same
App 20090081598 - Allen; Robert D. ;   et al.
2009-03-26
Microelectronic Circuit Structure With Layered Low Dielectric Constant Regions
App 20090072410 - Clevenger; Lawrence A. ;   et al.
2009-03-19
Line Ends Forming
App 20090068837 - Colburn; Matthew E. ;   et al.
2009-03-12
Memory Cell
App 20090065956 - Colburn; Matthew E. ;   et al.
2009-03-12
Selective Thin Metal Cap Process
App 20090053890 - Bonilla; Griselda ;   et al.
2009-02-26
Electrical Fuses And Resistors Having Sublithographic Dimensions
App 20090032959 - Black; Charles T. ;   et al.
2009-02-05
Method and materials for patterning a neutral surface
App 20090035668 - Breyta; Gregory ;   et al.
2009-02-05
Nonlithographic method to produce masks by selective reaction, articles produced, and composition for same
Grant 7,485,341 - Colburn , et al. February 3, 2
2009-02-03
Microelectronic circuit structure with layered low dielectric constant regions and method of forming same
Grant 7,485,567 - Clevenger , et al. February 3, 2
2009-02-03
Method for fabricating dual damascene structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, Materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascene patterning
App 20090023083 - Colburn; Matthew E. ;   et al.
2009-01-22
Structure For Stochastic Integrated Circuit Personalization
App 20080308801 - Clevenger; Lawrence A. ;   et al.
2008-12-18
Method for fabricating dual damascene structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascene patterning
App 20080303160 - Colburn; Matthew E. ;   et al.
2008-12-11
Method for fabricating dual damascene structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascene patterning
App 20080305197 - Colburn; Matthew E. ;   et al.
2008-12-11
Interconnect Structures With Ternary Patterned Features Generated From Two Lithographic Processes
App 20080284039 - Colburn; Matthew E. ;   et al.
2008-11-20
Method For Improved Process Latitude By Elongated Via Integration
App 20080284031 - Colburn; Matthew E.
2008-11-20
Nonlithographic Method to Produce Self-Aligned Mask, Articles Produced by Same and Compositions for Same
App 20080265382 - Colburn; Matthew E. ;   et al.
2008-10-30
Air Gap With Selective Pinchoff Using An Anti-nucleation Layer
App 20080265377 - Clevenger; Lawrence A. ;   et al.
2008-10-30
Nonlithographic Method to Produce Self-Aligned Mask, Articles Produced by Same and Compositions for Same
App 20080265415 - Colburn; Matthew E. ;   et al.
2008-10-30
Circuit structure with low dielectric constant regions and method of forming same
Grant 7,439,172 - Clevenger , et al. October 21, 2
2008-10-21
Method for improved process latitude by elongated via integration
Grant 7,439,628 - Colburn October 21, 2
2008-10-21
Device And Methodology For Reducing Effective Dielectric Constant In Semiconductor Devices
App 20080254630 - EDELSTEIN; Daniel C. ;   et al.
2008-10-16
Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
Grant 7,435,074 - Colburn , et al. October 14, 2
2008-10-14
DEVELOPMENT OR REMOVAL OF BLOCK COPOLYMER OR PMMA-b-S-BASED RESIST USING POLAR SUPERCRITICAL SOLVENT
App 20080248655 - Colburn; Matthew E. ;   et al.
2008-10-09
Method And Structure For Ultra Narrow Crack Stop For Multilevel Semiconductor Device
App 20080237868 - Clevenger; Lawrence A. ;   et al.
2008-10-02
Method For Producing Self-aligned Mask, Articles Produced By Same And Composition For Same
App 20080220615 - Brunner; Timothy A. ;   et al.
2008-09-11
Method for Fabricating Dual Damascene Structures
App 20080214011 - Colburn; Matthew E. ;   et al.
2008-09-04
Microelectronic Circuit Structure With Layered Low Dielectric Constant Regions And Method Of Forming Same
App 20080185728 - Clevenger; Lawrence A. ;   et al.
2008-08-07
Development or removal of block copolymer or PMMA-b-S-based resist using polar supercritical solvent
Grant 7,407,554 - Colburn , et al. August 5, 2
2008-08-05
Imprint Reference Template For Multilayer Or Multipattern Registration And Method Therefor
App 20080180646 - Colburn; Matthew E. ;   et al.
2008-07-31
Device and methodology for reducing effective dielectric constant in semiconductor devices
Grant 7,405,147 - Edelstein , et al. July 29, 2
2008-07-29
Metal Capping Process For Beol Interconnect With Air Gaps
App 20080169565 - Bonilla; Griselda ;   et al.
2008-07-17
Circuit Structure with Low Dielectric Constant Regions and Method of Forming Same
App 20080171432 - Clevenger; Lawrence A. ;   et al.
2008-07-17
Structure And Method For Stochastic Integrated Circuit Personalization
App 20080157314 - Clevenger; Lawrence A. ;   et al.
2008-07-03
Method of forming closed air gap interconnects and structures formed thereby
Grant 7,393,776 - Colburn , et al. July 1, 2
2008-07-01
Method And System For Nanostructure Placement Using Imprint Lithography
App 20080131705 - Colburn; Matthew E. ;   et al.
2008-06-05
Method to generate airgaps with a template first scheme and a self aligned blockout mask
App 20080122106 - Nitta; Satyanarayana Venkata ;   et al.
2008-05-29
Method for producing self-aligned mask, articles produced by same and composition for same
Grant 7,378,738 - Brunner , et al. May 27, 2
2008-05-27
Method and system for tone inverting of residual layer tolerant imprint lithography
App 20080118645 - Colburn; Matthew E. ;   et al.
2008-05-22
Cut-and-paste Imprint Lithographic Mold And Method Therefor
App 20080116602 - Colburn; Matthew E. ;   et al.
2008-05-22
Closed air gap interconnect structure
Grant 7,361,991 - Saenger , et al. April 22, 2
2008-04-22
Cut-and-paste imprint lithographic mold and method therefor
Grant 7,344,955 - Colburn , et al. March 18, 2
2008-03-18
Device And Methodology For Reducing Effective Dielectric Constant In Semiconductor Devices
App 20080038915 - EDELSTEIN; Daniel C. ;   et al.
2008-02-14
Device And Methodology For Reducing Effective Dielectric Constant In Semiconductor Devices
App 20080038923 - EDELSTEIN; Daniel C. ;   et al.
2008-02-14
Process For Interfacial Adhesion In Laminate Structures Through Patterned Roughing Of A Surface
App 20080020546 - COONEY; Edward C. III ;   et al.
2008-01-24
Method of forming closed air gap interconnects and structures formed thereby
Grant 7,309,649 - Colburn , et al. December 18, 2
2007-12-18
Process for interfacial adhesion in laminate structures through patterned roughing of a surface
Grant 7,303,994 - Cooney, III , et al. December 4, 2
2007-12-04
Imprint lithography system to produce light to impinge upon and polymerize a liquid in superimposition with template overlay marks
Grant 7,303,383 - Sreenivasan , et al. December 4, 2
2007-12-04
Imprint Lithography System To Produce Light To Impinge Upon And Polymerize A Liquid In Superimposition With Template Overlay Marks
App 20070264588 - Sreenivasan; Sidlgata V. ;   et al.
2007-11-15
Interconnect structures with engineered dielectrics with nanocolumnar porosity
Grant 7,268,432 - Colburn , et al. September 11, 2
2007-09-11
Method for fabricating dual damascene structures
App 20070148598 - Colburn; Matthew E. ;   et al.
2007-06-28
Recovery Of Hydrophobicity Of Low-k And Ultra Low-k Organosilicate Films Used As Inter Metal Dielectrics
App 20070138640 - Chakrapani; Nirupama ;   et al.
2007-06-21
Imprint lithography template having a feature size under 250 nm
Grant 7,229,273 - Bailey , et al. June 12, 2
2007-06-12
Method of determining alignment of a template and a substrate having a liquid disposed therebetween
Grant 7,186,483 - Sreenivasan , et al. March 6, 2
2007-03-06
Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
Grant 7,179,758 - Chakrapani , et al. February 20, 2
2007-02-20
Method of forming closed air gap interconnects and structures formed thereby
App 20060267208 - Colburn; Matthew E. ;   et al.
2006-11-30
Method of forming closed air gap interconnects and structures formed thereby
App 20060258147 - Colburn; Matthew E. ;   et al.
2006-11-16
Method for improved process latitude by elongated via integration
App 20060244146 - Colburn; Matthew E.
2006-11-02
DEVELOPMENT OR REMOVAL OF BLOCK COPOLYMER OR PMMA-b-S-BASED RESIST USING POLAR SUPERCRITICAL SOLVENT
App 20060228653 - Colburn; Matthew E. ;   et al.
2006-10-12
Imprint reference template for multilayer or multipattern registration and method therefor
App 20060157898 - Colburn; Matthew E. ;   et al.
2006-07-20
Phase contrast alignment method and apparatus for nano imprint lithography
App 20060147820 - Colburn; Matthew E. ;   et al.
2006-07-06
Method and apparatus for direct referencing of top surface of workpiece during imprint lithography
App 20060145400 - Colburn; Matthew E. ;   et al.
2006-07-06
Method for improved process latitude by elongated via integration
Grant 7,071,097 - Colburn July 4, 2
2006-07-04
Cut-and-paste imprint lithographic mold and method therefor
App 20060110890 - Colburn; Matthew E. ;   et al.
2006-05-25
Pneumatic method and apparatus for nano imprint lithography
App 20060105571 - Colburn; Matthew E. ;   et al.
2006-05-18
Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby
Grant 7,037,744 - Colburn , et al. May 2, 2
2006-05-02
Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby
Grant 7,030,495 - Colburn , et al. April 18, 2
2006-04-18
Method for improved process latitude by elongated via integration
App 20060006546 - Colburn; Matthew E.
2006-01-12
Process For Interfacial Adhesion In Laminate Structures Through Patterned Roughing Of A Surface
App 20050277266 - Cooney, Edward C. III ;   et al.
2005-12-15
Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby
App 20050272341 - Colburn, Matthew E. ;   et al.
2005-12-08
Step and flash imprint lithography
App 20050236739 - Willson, Carlton Grant ;   et al.
2005-10-27
Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
App 20050233597 - Colburn, Matthew E. ;   et al.
2005-10-20
Method For Fabricating A Self-aligned Nanocolumnar Airbridge And Structure Produced Thereby
App 20050208752 - Colburn, Matthew E. ;   et al.
2005-09-22
Method of producing self-aligned mask in conjuction with blocking mask, articles produced by same and composition for same
App 20050208430 - Colburn, Matthew E. ;   et al.
2005-09-22
Method for fabricating dual damascene structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascene patterning
App 20050202350 - Colburn, Matthew E. ;   et al.
2005-09-15
Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence
Grant 6,930,034 - Colburn , et al. August 16, 2
2005-08-16
Device And Methodology For Reducing Effective Dielectric Constant In Semiconductor Devices
App 20050167838 - Edelstein, Daniel C. ;   et al.
2005-08-04
Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
Grant 6,911,400 - Colburn , et al. June 28, 2
2005-06-28
Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
App 20050106762 - Chakrapani, Nirupama ;   et al.
2005-05-19
Interconnect structures with engineered dielectrics with nanocolumnar porosity
App 20050079719 - Colburn, Matthew E. ;   et al.
2005-04-14
Imprint lithography templates having alignment marks
App 20050064344 - Bailey, Todd C. ;   et al.
2005-03-24
Method of forming closed air gap interconnects and structures formed thereby
App 20050062165 - Saenger, Katherine L. ;   et al.
2005-03-24
Method for producing self-aligned mask, articles produced by same and composition for same
App 20050045997 - Brunner, Timothy A. ;   et al.
2005-03-03
Nonlithographic method to produce masks by selective reaction, articles produced, and composition for same
App 20040213971 - Colburn, Matthew E. ;   et al.
2004-10-28
Device for holding a template for use in imprint lithography
App 20040141163 - Bailey, Todd ;   et al.
2004-07-22
Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence
App 20040127001 - Colburn, Matthew E. ;   et al.
2004-07-01
Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
App 20040087176 - Colburn, Matthew E. ;   et al.
2004-05-06
Nonlithographic method to produce masks by selective reaction, articles produced, and composition for same
App 20040087177 - Colburn, Matthew E. ;   et al.
2004-05-06
Nonlithographic method to produce masks by selective reaction, articles produced, and composition for same
Grant 6,641,899 - Colburn , et al. November 4, 2
2003-11-04

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed