loadpatents
name:-1.83846616745
name:-1.1968019008636
name:-0.1004810333252
Buyuktosunoglu; Alper Patent Filings

Buyuktosunoglu; Alper

Patent Applications and Registrations

Patent applications and USPTO patent grants for Buyuktosunoglu; Alper.The latest application filed is for "updating of statistical sets for decentralized distributed training of a machine learning model".

Company Profile
58.160.185
  • Buyuktosunoglu; Alper - White Plains NY
  • Buyuktosunoglu; Alper - Yorktown Heights NY
  • Buyuktosunoglu; Alper - Rochester NY
  • Buyuktosunoglu; Alper - Putnam Valley NY
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Updating Of Statistical Sets For Decentralized Distributed Training Of A Machine Learning Model
App 20220245397 - Cui; Xiaodong ;   et al.
2022-08-04
Dedicated Bound Information Register File For Protecting Against Out-of-bounds Memory References
App 20220206943 - Chen; Tong ;   et al.
2022-06-30
Optimize Bound Information Accesses In Buffer Protection
App 20220206803 - Chen; Tong ;   et al.
2022-06-30
Instruction sequence merging and splitting for optimized accelerator implementation
Grant 11,360,772 - Buyuktosunoglu , et al. June 14, 2
2022-06-14
Sensor-based Non-uniform Cooling
App 20220179465 - Bose; Pradip ;   et al.
2022-06-09
Proactive Voltage Droop Reduction And/or Mitigation In A Processor Core
App 20220164250 - Biran; Giora ;   et al.
2022-05-26
System and method for an error-aware runtime configurable memory hierarchy for improved energy efficiency
Grant 11,334,786 - Buyuktosunoglu , et al. May 17, 2
2022-05-17
Anomalous cache coherence transaction detection in a heterogeneous system
Grant 11,321,495 - Buyuktosunoglu , et al. May 3, 2
2022-05-03
Protecting Against Invalid Memory References
App 20220121644 - Boivie; Richard H. ;   et al.
2022-04-21
Proactive voltage droop reduction and/or mitigation in a processor core
Grant 11,275,644 - Biran , et al. March 15, 2
2022-03-15
Voltage Management Via On-chip Sensors
App 20220075435 - Bose; Pradip ;   et al.
2022-03-10
Governing power budget with token passing
Grant 11,237,616 - Shah , et al. February 1, 2
2022-02-01
Hierarchical Decentralized Distributed Deep Learning Training
App 20220027796 - Zhang; Wei ;   et al.
2022-01-27
Governing Power Budget With Token Passing
App 20220026972 - Shah; Parth Sanjaybhai ;   et al.
2022-01-27
Dynamic Computation In Decentralized Distributed Deep Learning Training
App 20220012584 - Zhang; Wei ;   et al.
2022-01-13
Dynamic Network Bandwidth In Distributed Deep Learning Training
App 20220012642 - Zhang; Wei ;   et al.
2022-01-13
Dynamic Computation Rates For Distributed Deep Learning
App 20220012629 - Zhang; Wei ;   et al.
2022-01-13
Providing a dynamic random-access memory cache as second type memory
Grant 11,221,770 - Abali , et al. January 11, 2
2022-01-11
Heterogeneous System On A Chip Scheduler With Learning Agent
App 20220004430 - Vega; Augusto ;   et al.
2022-01-06
Heterogeneous System On A Chip Scheduler
App 20220004433 - Vega; Augusto ;   et al.
2022-01-06
Model training by discarding relatively less relevant parameters
Grant 11,182,674 - Bose , et al. November 23, 2
2021-11-23
Power shifting among hardware components in heterogeneous system
Grant 11,157,067 - Lee , et al. October 26, 2
2021-10-26
Computing with unreliable processor cores
Grant 11,151,002 - Rama , et al. October 19, 2
2021-10-19
Dynamically optimizing margins of a processor
Grant 11,150,716 - Lobo , et al. October 19, 2
2021-10-19
Anomalous Cache Coherence Transaction Detection In A Heterogeneous System
App 20210312093 - BUYUKTOSUNOGLU; Alper ;   et al.
2021-10-07
Instruction Sequence Merging And Splitting For Optimized Accelerator Implementation
App 20210303306 - BUYUKTOSUNOGLU; Alper ;   et al.
2021-09-30
Determination And Correction Of Physical Circuit Event Related Errors Of A Hardware Design
App 20210270897 - Bose; Pradip ;   et al.
2021-09-02
Dynamically Optimizing Margins Of A Processor
App 20210240247 - LOBO; Preetham M. ;   et al.
2021-08-05
On-chip supply noise voltage reduction or mitigation using local detection loops
Grant 11,073,884 - Bose , et al. July 27, 2
2021-07-27
Generating representative microbenchmarks
Grant 11,074,155 - Buyuktosunoglu , et al. July 27, 2
2021-07-27
Microarchitectural techniques to mitigate cache-based data security vulnerabilities
Grant 11,068,612 - Nair , et al. July 20, 2
2021-07-20
Power Efficient Machine Learning In Cloud-backed Mobile Systems
App 20210208992 - VEGA; Augusto ;   et al.
2021-07-08
Power Shifting Among Hardware Components In Heterogeneous System
App 20210181830 - Lee; Eun Kyung ;   et al.
2021-06-17
Self-evaluating array of memory
Grant 11,037,650 - Buyuktosunoglu , et al. June 15, 2
2021-06-15
Mitigating voltage droop
Grant 11,029,742 - Webel , et al. June 8, 2
2021-06-08
Providing A Dynamic Random-access Memory Cache As Second Type Memory
App 20210165580 - ABALI; Bulent ;   et al.
2021-06-03
Low-overhead error prediction and preemption in deep neural network using apriori network statistics
Grant 11,016,840 - Venkataramani , et al. May 25, 2
2021-05-25
Determination and correction of physical circuit event related errors of a hardware design
Grant 11,002,791 - Bose , et al. May 11, 2
2021-05-11
Protecting Against Out-of-bounds Buffer References
App 20210110040 - Boivie; Richard H. ;   et al.
2021-04-15
Multi-layered processor throttle controller
Grant 10,955,906 - Lobo , et al. March 23, 2
2021-03-23
Reliability-aware runtime optimal processor configuration
Grant 10,896,146 - Swaminathan , et al. January 19, 2
2021-01-19
Cognitive computing for servers and mobile devices
Grant 10,839,311 - Bose , et al. November 17, 2
2020-11-17
Contention-aware resource provisioning in heterogeneous processors
Grant 10,831,543 - Chandramoorthy , et al. November 10, 2
2020-11-10
Systems, methods and computer program products using multi-tag storage for efficient data compression in caches
Grant 10,831,669 - Nair , et al. November 10, 2
2020-11-10
Reducing minimum operating voltage through heterogeneous codes
Grant 10,831,535 - Leng , et al. November 10, 2
2020-11-10
System And Method For An Error-aware Runtime Configurable Memory Hierarchy For Improved Energy Efficiency
App 20200342284 - BUYUKTOSUNOGLU; Alper ;   et al.
2020-10-29
Computing With Unreliable Processor Cores
App 20200319981 - Rama; Saketh V. ;   et al.
2020-10-08
Generating Representative Microbenchmarks
App 20200319994 - BUYUKTOSUNOGLU; Alper ;   et al.
2020-10-08
Voltage Droop
App 20200310516 - Webel; Tobias ;   et al.
2020-10-01
Determination And Correction Of Physical Circuit Event Related Errors Of A Hardware Design
App 20200300913 - Bose; Pradip ;   et al.
2020-09-24
Variation-aware intra-node power shifting among different hardware components
Grant 10,761,583 - Lee , et al. Sep
2020-09-01
Multi-layered Processor Throttle Controller
App 20200257349 - A1
2020-08-13
Low-overhead Error Prediction And Preemption In Deep Neural Network Using Apriori Network Statistics
App 20200241954 - Venkataramani; Swagath ;   et al.
2020-07-30
Reducing Minimum Operating Voltage Through Heterogeneous Codes
App 20200210229 - Leng; Jingwen ;   et al.
2020-07-02
Determination and correction of physical circuit event related errors of a hardware design
Grant 10,690,723 - Bose , et al.
2020-06-23
Enabling Compression Based On Queue Occupancy
App 20200183620 - NAIR; Prashant ;   et al.
2020-06-11
Multi-tag Storage Techniques For Efficient Data Compression In Caches
App 20200174939 - Nair; Prashant Jayaprakash ;   et al.
2020-06-04
Self-evaluating Array Of Memory
App 20200168290 - Buyuktosunoglu; Alper ;   et al.
2020-05-28
Determination And Correction Of Physical Circuit Event Related Errors Of A Hardware Design
App 20200158782 - Bose; Pradip ;   et al.
2020-05-21
Contention-aware Resource Provisioning In Heterogeneous Processors
App 20200159586 - Chandramoorthy; Nandhini ;   et al.
2020-05-21
Reliability-aware Runtime Optimal Processor Configuration
App 20200159691 - Swaminathan; Karthik V. ;   et al.
2020-05-21
Predicting voltage guardband and operating at a safe limit
Grant 10,642,342 - Bertran , et al.
2020-05-05
Optimization of application workflow in mobile embedded devices
Grant 10,635,490 - Bertran Monfort , et al.
2020-04-28
Proactive Voltage Droop Reduction And/or Mitigation In A Processor Core
App 20200110656 - Biran; Giora ;   et al.
2020-04-09
Inducing heterogeneous microprocessor behavior using non-uniform cooling
Grant 10,613,603 - Bose , et al.
2020-04-07
Self-evaluating array of memory
Grant 10,607,715 - Buyuktosunoglu , et al.
2020-03-31
Cognitive computing for servers and mobile devices
Grant 10,599,996 - Bose , et al.
2020-03-24
Computer system performance analyzer
Grant 10,599,432 - Bertran , et al.
2020-03-24
Variation-aware Intra-node Power Shifting Among Different Hardware Components
App 20200081513 - Lee; Eun Kyung ;   et al.
2020-03-12
Adaptive network with interconnected autonomous devices
Grant 10,582,421 - Buyuktosunoglu , et al.
2020-03-03
Swarm-based Resource Management
App 20200065686 - Vega; Augusto ;   et al.
2020-02-27
Sensor-based non-uniform cooling
Grant 10,558,249 - Bose , et al. Feb
2020-02-11
Dynamic adjustments within memory systems
Grant 10,558,518 - Nair , et al. Feb
2020-02-11
Microarchitectural Techniques To Mitigate Cache-based Data Security Vulnerabilities
App 20200042732 - NAIR; Prashant J. ;   et al.
2020-02-06
Proactive voltage droop reduction and/or mitigation in a processor core
Grant 10,552,250 - Biran , et al. Fe
2020-02-04
Local computation logic embedded in a register file to accelerate programs
Grant 10,534,608 - Bose , et al. January 14, 2
2020-01-14
Adaptive Multi-agent Cooperative Computation And Inference
App 20190392333 - Vega; Augusto ;   et al.
2019-12-26
Power shifting in multicore platforms by varying SMT levels
Grant 10,444,812 - Bose , et al. Oc
2019-10-15
Bandwidth efficient techniques for enabling tagged memories
Grant 10,423,538 - Buyuktosunoglu , et al. Sept
2019-09-24
Adaptive network with interconnected autonomous devices
Grant 10,368,267 - Buyuktosunoglu , et al. July 30, 2
2019-07-30
Determination and correction of physical circuit event related errors of a hardware design
Grant 10,365,327 - Bose , et al. July 30, 2
2019-07-30
Inducing Heterogeneous Microprocessor Behavior Using Non-uniform Cooling
App 20190204884 - Bose; Pradip ;   et al.
2019-07-04
Maintaining system reliability in a CPU with co-processors
Grant 10,339,015 - Bose , et al.
2019-07-02
On-chip supply noise voltage reduction or mitigation using local detection loops in a processor core
Grant 10,333,520 - Bose , et al.
2019-06-25
Maintaining system reliability in a CPU with co-processors
Grant 10,331,529 - Bose , et al.
2019-06-25
Inducing heterogeneous microprocessor behavior using non-uniform cooling
Grant 10,317,962 - Bose , et al.
2019-06-11
System And Method For Consensus-based Representation And Error Checking For Neural Networks
App 20190164048 - Bose; Pradip ;   et al.
2019-05-30
Bandwidth Efficient Techniques For Enabling Tagged Memories
App 20190163640 - Buyuktosunoglu; Alper ;   et al.
2019-05-30
Voltage Management Via On-chip Sensors
App 20190146568 - Bose; Pradip ;   et al.
2019-05-16
Dynamic Adjustments Within Memory Systems
App 20190146864 - Nair; Prashant Jayaprakash ;   et al.
2019-05-16
Reducing The Cost Of N Modular Redundancy For Neural Networks
App 20190138903 - Bose; Pradip ;   et al.
2019-05-09
Determination And Correction Of Physical Circuit Event Related Errors Of A Hardware Design
App 20190113572 - Bose; Pradip ;   et al.
2019-04-18
Proactive Voltage Droop Reduction And/or Mitigation In A Processor Core
App 20190108087 - Biran; Giora ;   et al.
2019-04-11
On-chip Supply Noise Voltage Reduction Or Mitigation Using Local Detection Loops In A Processor Core
App 20190036530 - Bose; Pradip ;   et al.
2019-01-31
Predicting Voltage Guardband And Operating At A Safe Limit
App 20190011977 - Bertran; Ramon ;   et al.
2019-01-10
On-chip supply noise voltage reduction or mitigation using local detection loops in a processor core
Grant 10,171,081 - Bose , et al. J
2019-01-01
Self-evaluating Array Of Memory
App 20180358110 - Buyuktosunoglu; Alper ;   et al.
2018-12-13
Predicting voltage guardband and operating at a safe limit
Grant 10,114,449 - Bertran , et al. October 30, 2
2018-10-30
Parameter Criticality-aware Resilience
App 20180307968 - BOSE; PRADIP ;   et al.
2018-10-25
Maintaining System Reliability In A Cpu With Co-processors
App 20180267867 - Bose; Pradip ;   et al.
2018-09-20
Model Training By Discarding Relatively Less Relevant Parameters
App 20180268290 - Bose; Pradip ;   et al.
2018-09-20
Maintaining System Reliability In A Cpu With Co-processors
App 20180267868 - Bose; Pradip ;   et al.
2018-09-20
Computer System Performance Analyzer
App 20180260225 - Bertran; Ramon ;   et al.
2018-09-13
Adaptive network with interconnected autonomous devices
Grant 10,075,875 - Buyuktosunoglu , et al. September 11, 2
2018-09-11
Adaptive Network With Interconnected Autonomous Devices
App 20180242194 - Buyuktosunoglu; Alper ;   et al.
2018-08-23
Generation and application of stressmarks in a computer system
Grant 10,042,642 - Bertran , et al. August 7, 2
2018-08-07
Clustering execution in a processing system to increase power savings
Grant 9,933,844 - Bose , et al. April 3, 2
2018-04-03
Sensor-based Non-uniform Cooling
App 20180088609 - Bose; Pradip ;   et al.
2018-03-29
Clustering execution in a processing system to increase power savings
Grant 9,921,639 - Bose , et al. March 20, 2
2018-03-20
Inducing Heterogeneous Microprocessor Behavior Using Non-uniform Cooling
App 20180052499 - Bose; Pradip ;   et al.
2018-02-22
Cognitive Computing For Servers And Mobile Devices
App 20180025281 - Bose; Pradip ;   et al.
2018-01-25
Cognitive Computing For Servers And Mobile Devices
App 20180025279 - Bose; Pradip ;   et al.
2018-01-25
Space reduction in processor stressmark generation
Grant 9,804,849 - Bertran , et al. October 31, 2
2017-10-31
Power Shifting In Multicore Platforms By Varying Smt Levels
App 20170308147 - BOSE; Pradip ;   et al.
2017-10-26
Space reduction in processor stressmark generation
Grant 9,798,546 - Bertran , et al. October 24, 2
2017-10-24
Processor with memory-embedded pipeline for table-driven computation
Grant 9,740,497 - Bose , et al. August 22, 2
2017-08-22
Processor with memory-embedded pipeline for table-driven computation
Grant 9,740,496 - Bose , et al. August 22, 2
2017-08-22
Generation and application of stressmarks in a computer system
Grant 9,727,434 - Bertran , et al. August 8, 2
2017-08-08
Dynamic Tuning Of A Simultaneous Multithreading Metering Architecture
App 20170212786 - ACAR; EMRAH ;   et al.
2017-07-27
Dynamic Tuning Of A Simultaneous Multithreading Metering Architecture
App 20170212824 - ACAR; EMRAH ;   et al.
2017-07-27
Power shifting in multicore platforms by varying SMT levels
Grant 9,710,044 - Bose , et al. July 18, 2
2017-07-18
Three-dimensional processing system having at least one layer with circuitry dedicated to scan testing and system state checkpointing of other system layers
Grant 9,696,379 - Buyuktosunoglu , et al. July 4, 2
2017-07-04
Optimization of application workflow in mobile embedded devices
Grant 9,690,555 - Bertran Monfort , et al. June 27, 2
2017-06-27
Predicting Voltage Guardband And Operating At A Safe Limit
App 20170147058 - Bertran; Ramon ;   et al.
2017-05-25
Predicting out-of-order instruction level parallelism of threads in a multi-threaded processor
Grant 9,652,243 - Burcea , et al. May 16, 2
2017-05-16
Generation And Application Of Stressmarks In A Computer System
App 20170132006 - Bertran; Ramon ;   et al.
2017-05-11
Intelligent bandwidth shifting mechanism
Grant 9,645,935 - Bose , et al. May 9, 2
2017-05-09
Delaying execution in a processor to increase power savings
Grant 9,632,559 - Bose , et al. April 25, 2
2017-04-25
Delaying execution in a processor to increase power savings
Grant 9,632,560 - Bose , et al. April 25, 2
2017-04-25
Design Space Reduction In Processor Stressmark Generation
App 20170109169 - Bertran; Ramon ;   et al.
2017-04-20
Design Space Reduction In Processor Stressmark Generation
App 20170108907 - Bertran; Ramon ;   et al.
2017-04-20
Single-thread cache miss rate estimation
Grant 9,626,293 - Bonanno , et al. April 18, 2
2017-04-18
Single thread cache miss rate estimation
Grant 9,619,385 - Bonanno , et al. April 11, 2
2017-04-11
Adaptive Network With Interconnected Autonomous Devices
App 20170094559 - Buyuktosunoglu; Alper ;   et al.
2017-03-30
Adaptive Network With Interconnected Autonomous Devices
App 20170094558 - Buyuktosunoglu; Alper ;   et al.
2017-03-30
Optimization Of Application Workflow In Mobile Embedded Devices
App 20170068521 - Bertran Monfort; Ramon ;   et al.
2017-03-09
Generation and application of stressmarks in a computer system
Grant 9,588,863 - Bertran , et al. March 7, 2
2017-03-07
Processor stressmarks generation
Grant 9,575,867 - Bertran , et al. February 21, 2
2017-02-21
Processor stressmarks generation
Grant 9,575,868 - Bertran , et al. February 21, 2
2017-02-21
3-D stacked multiprocessor structure with vertically aligned identical layout operating processors in independent mode or in sharing mode running faster components
Grant 9,569,402 - Buyuktosunoglu , et al. February 14, 2
2017-02-14
Optimization Of Application Workflow In Mobile Embedded Devices
App 20160378550 - Bertran Monfort; Ramon ;   et al.
2016-12-29
Clustering Execution In A Processing System To Increase Power Savings
App 20160378163 - Bose; Pradip ;   et al.
2016-12-29
Clustering Execution In A Processing System To Increase Power Savings
App 20160378161 - Bose; Pradip ;   et al.
2016-12-29
Cycle-level thread alignment on multi-threaded processors
Grant 9,507,646 - Bertran , et al. November 29, 2
2016-11-29
Predictively turning off a charge pump supplying voltage for overdriving gates of the power switch header in a microprocessor with power gating
Grant 9,471,136 - Bose , et al. October 18, 2
2016-10-18
3-D stacked multiprocessor structures and methods for multimodal operation of same
Grant 9,471,535 - Buyuktosunoglu , et al. October 18, 2
2016-10-18
3-D stacked multiprocessor structures and methods for multimodal operation of same
Grant 9,442,884 - Buyuktosunoglu , et al. September 13, 2
2016-09-13
Intelligent Bandwidth Shifting Mechanism
App 20160253264 - Bose; Pradip ;   et al.
2016-09-01
Determining and storing bit error rate relationships in spin transfer torque magnetoresistive random-access memory (STT-MRAM)
Grant 9,431,084 - Bose , et al. August 30, 2
2016-08-30
Single-thread Cache Miss Rate Estimation
App 20160246716 - Bonanno; James J. ;   et al.
2016-08-25
Single-thread Cache Miss Rate Estimation
App 20160246722 - Bonanno; James J. ;   et al.
2016-08-25
Delaying execution in a processor to increase power savings
Grant 9,423,859 - Bose , et al. August 23, 2
2016-08-23
Hierarchical in-memory sort engine
Grant 9,424,308 - Buyuktosunoglu , et al. August 23, 2
2016-08-23
Accelerating microprocessor core wake up via charge from capacitance tank without introducing noise on power grid of running microprocessor cores
Grant 9,423,865 - Bose , et al. August 23, 2
2016-08-23
Delaying Execution In A Processor To Increase Power Savings
App 20160239077 - Bose; Pradip ;   et al.
2016-08-18
Delaying Execution In A Processor To Increase Power Savings
App 20160239066 - Bose; Pradip ;   et al.
2016-08-18
Determining and storing bit error rate relationships in spin transfer torque magnetoresistive random-access memory (STT-MRAM)
Grant 9,418,721 - Bose , et al. August 16, 2
2016-08-16
Cycle-level Thread Alignment On Multi-threaded Processors
App 20160232039 - Bertran; Ramon ;   et al.
2016-08-11
Dynamic temperature adjustments in spin transfer torque magnetoresistive random-access memory (STT-MRAM)
Grant 9,406,368 - Bose , et al. August 2, 2
2016-08-02
Three-dimensional Processing System Having At Least One Layer With Circuitry Dedicated To Scan Testing And System State Checkpointing Of Other System Layers
App 20160209470 - Buyuktosunoglu; Alper ;   et al.
2016-07-21
Hierarchical in-memory sort engine
Grant 9,396,143 - Buyuktosunoglu , et al. July 19, 2
2016-07-19
Three-dimensional processing system having independent calibration and statistical collection layer
Grant 9,389,876 - Emma , et al. July 12, 2
2016-07-12
Predictively turning off a charge pump supplying voltage for overdriving gates of the power switch header in a microprocessor with power gating
Grant 9,389,674 - Bose , et al. July 12, 2
2016-07-12
Power management for in-memory computer systems
Grant 9,389,675 - Bose , et al. July 12, 2
2016-07-12
Three-dimensional processing system having at least one layer with circuitry dedicated to scan testing and system state checkpointing of other system layers
Grant 9,383,411 - Buyuktosunoglu , et al. July 5, 2
2016-07-05
Dynamic power distribution
Grant 9,372,519 - Bose , et al. June 21, 2
2016-06-21
Hierarchical In-memory Sort Engine
App 20160171045 - Buyuktosunoglu; Alper ;   et al.
2016-06-16
Dynamic detection of resource management anomalies in a processing system
Grant 9,361,175 - Bose , et al. June 7, 2
2016-06-07
Power management for multi-core processing systems
Grant 9,354,943 - Bose , et al. May 31, 2
2016-05-31
Dynamic temperature adjustments in spin transfer torque magnetoresistive random-access memory (STT-MRAM)
Grant 9,351,899 - Bose , et al. May 31, 2
2016-05-31
Three-dimensional processing system having multiple caches that can be partitioned, conjoined, and managed according to more than one set of rules and/or configurations
Grant 9,336,144 - Buyuktosunoglu , et al. May 10, 2
2016-05-10
Rotating voltage control
Grant 9,323,302 - Bose , et al. April 26, 2
2016-04-26
Processor Stressmarks Generation
App 20160110197 - Bertran; Ramon ;   et al.
2016-04-21
Generation And Application Of Stressmarks In A Computer System
App 20160110198 - Bertran; Ramon ;   et al.
2016-04-21
Generation And Application Of Stressmarks In A Computer System
App 20160110276 - Bertran; Ramon ;   et al.
2016-04-21
Processor Stressmarks Generation
App 20160110278 - Bertran; Ramon ;   et al.
2016-04-21
3-D stacked multiprocessor structure with vertically aligned identical layout operating processors in independent mode or in sharing mode running faster components
Grant 9,298,672 - Buyuktosunoglu , et al. March 29, 2
2016-03-29
Multi-threaded processor instruction balancing through instruction uncertainty
Grant 9,298,466 - Buyuktosunoglu , et al. March 29, 2
2016-03-29
Dynamic power distribution
Grant 9,298,234 - Bose , et al. March 29, 2
2016-03-29
Accelerating the microprocessor core wakeup by predictively executing a subset of the power-up sequence
Grant 9,298,253 - Bose , et al. March 29, 2
2016-03-29
Hierarchical In-memory Sort Engine
App 20160085702 - Buyuktosunoglu; Alper ;   et al.
2016-03-24
Accelerating the microprocessor core wakeup by predictively executing a subset of the power-up sequence
Grant 9,292,079 - Bose , et al. March 22, 2
2016-03-22
Hierarchical in-memory sort engine
Grant 9,268,863 - Buyuktosunoglu , et al. February 23, 2
2016-02-23
Memory architectures having wiring structures that enable different access patterns in multiple dimensions
Grant 9,257,152 - Buyuktosunoglu , et al. February 9, 2
2016-02-09
Hierarchical In-memory Sort Engine
App 20150347592 - Buyuktosunoglu; Alper ;   et al.
2015-12-03
Three-dimensional computer processor systems having multiple local power and cooling layers and a global interconnection structure
Grant 9,195,630 - Buyuktosunoglu , et al. November 24, 2
2015-11-24
Memory architectures having wiring structures that enable different access patterns in multiple dimensions
Grant 9,190,118 - Buyuktosunoglu , et al. November 17, 2
2015-11-17
Multi-threaded processor instruction balancing through instruction uncertainty
Grant 9,182,991 - Buyuktosunoglu , et al. November 10, 2
2015-11-10
Delaying Execution In A Processor To Increase Power Savings
App 20150286261 - Bose; Pradip ;   et al.
2015-10-08
Thread consolidation in processor cores
Grant 9,146,609 - Bose , et al. September 29, 2
2015-09-29
Power Management For Multi-core Processing Systems
App 20150268710 - Bose; Pradip ;   et al.
2015-09-24
Determining And Storing Bit Error Rate Relationships In Spin Transfer Torque Magnetoresistive Random-access Memory (stt-mram)
App 20150206568 - Bose; Pradip ;   et al.
2015-07-23
Determining And Storing Bit Error Rate Relationships In Spin Transfer Torque Magnetoresistive Random-access Memory (stt-mram)
App 20150206566 - Bose; Pradip ;   et al.
2015-07-23
Dynamic Temperature Adjustments In Spin Transfer Torque Magnetoresistive Random-access Memory (stt-mram)
App 20150206569 - Bose; Pradip ;   et al.
2015-07-23
Dynamic Temperature Adjustments In Spin Transfer Torque Magnetoresistive Random-access Memory (stt-mram)
App 20150206567 - Bose; Pradip ;   et al.
2015-07-23
Power Management For In-memory Computer Systems
App 20150177811 - Bose; Pradip ;   et al.
2015-06-25
Rotating Voltage Control
App 20150177796 - Bose; Pradip ;   et al.
2015-06-25
Efficient Wakeup Of Power Gated Domains Through Charge Sharing And Recycling
App 20150162898 - Bose; Pradip ;   et al.
2015-06-11
Efficient Wakeup Of Power Gated Domains Through Charge Sharing And Recycling
App 20150162903 - Bose; Pradip ;   et al.
2015-06-11
Efficient Wakeup Of Power Gated Domains Through Charge Sharing And Recycling
App 20150162899 - Bose; Pradip ;   et al.
2015-06-11
Efficient Wakeup Of Power Gated Domains Through Charge Sharing And Recycling
App 20150162904 - Bose; Pradip ;   et al.
2015-06-11
Power shifting in multicore platforms by varying SMT levels
Grant 9,043,626 - Bose , et al. May 26, 2
2015-05-26
Power Shifting in Multicore Platforms by Varying SMT Levels
App 20150134987 - Bose; Pradip ;   et al.
2015-05-14
Three-dimensional Processing System Having Independent Calibration And Statistical Collection Layer
App 20150121052 - Emma; Philip G. ;   et al.
2015-04-30
Power shifting in multicore platforms by varying SMT levels
Grant 9,003,218 - Bose , et al. April 7, 2
2015-04-07
Predictively Turning Off A Charge Pump Supplying Voltage For Overdriving Gates Of The Power Switch Header In A Microprocessor With Power Gating
App 20150081125 - Bose; Pradip ;   et al.
2015-03-19
Accelerating The Microprocessor Core Wakeup By Predictively Executing A Subset Of The Power-up Sequence
App 20150082070 - Bose; Pradip ;   et al.
2015-03-19
Accelerating The Microprocessor Core Wakeup By Predictively Executing A Subset Of The Power-up Sequence
App 20150082066 - Bose; Pradip ;   et al.
2015-03-19
Accelerating Microprocessor Core Wake Up Via Charge From Capacitance Tank Without Introducing Noise On Power Grid Of Running Microprocessor Cores
App 20150082065 - Bose; Pradip ;   et al.
2015-03-19
Accelerating Microprocessor Core Wake Up Via Charge From Capacitance Tank Without Introducing Noise On Power Grid Of Running Microprocessor Cores
App 20150082069 - Bose; Pradip ;   et al.
2015-03-19
Efficient Wakeup Of Power Gated Domains Through Charge Sharing And Recycling
App 20150077170 - Bose; Pradip ;   et al.
2015-03-19
Predictively Turning Off A Charge Pump Supplying Voltage For Overdriving Gates Of The Power Switch Header In A Microprocessor With Power Gating
App 20150081123 - Bose; Pradip ;   et al.
2015-03-19
Efficient Wakeup Of Power Gated Domains Through Charge Sharing And Recycling
App 20150076908 - Bose; Pradip ;   et al.
2015-03-19
Processor With Memory-embedded Pipeline For Table-driven Computation
App 20150074356 - Bose; Pradip ;   et al.
2015-03-12
Processor With Memory-embedded Pipeline For Table-driven Computation
App 20150074381 - Bose; Pradip ;   et al.
2015-03-12
Three-dimensional Processing System Having Multiple Caches That Can Be Partitioned, Conjoined, And Managed According To More Than One Set Of Rules And/or Configurations
App 20150032962 - Buyuktosunoglu; Alper ;   et al.
2015-01-29
Three-dimensional Processing System Having At Least One Layer With Circuitry Dedicated To Scan Testing And System State Checkpointing Of Other System Layers
App 20150006986 - Buyuktosunoglu; Alper ;   et al.
2015-01-01
Adaptive workload based optimizations coupled with a heterogeneous current-aware baseline design to mitigate current delivery limitations in integrated circuits
Grant 8,914,764 - Bose , et al. December 16, 2
2014-12-16
Current-aware floorplanning to overcome current delivery limitations in integrated circuits
Grant 8,863,068 - Bose , et al. October 14, 2
2014-10-14
Three-dimensional Computer Processor Systems Having Multiple Local Power And Cooling Layers And A Global Interconnection Structure
App 20140281378 - Buyuktosunoglu; Alper ;   et al.
2014-09-18
Token-based current control to mitigate current delivery limitations in integrated circuits
Grant 8,826,216 - Bose , et al. September 2, 2
2014-09-02
3-D stacked multiprocessor structures and methods to enable reliable operation of processors at speeds above specified limits
Grant 8,826,073 - Buyuktosunoglu , et al. September 2, 2
2014-09-02
Virtualized abstraction with built-in data alignment and simultaneous event monitoring in performance counter based application characterization and tuning
Grant 8,798,962 - Bose , et al. August 5, 2
2014-08-05
3-D stacked multiprocessor structures and methods to enable reliable operation of processors at speeds above specified limits
Grant 8,799,710 - Buyuktosunoglu , et al. August 5, 2
2014-08-05
Adaptive Workload Based Optimizations Coupled With A Heterogeneous Current-aware Baseline Design To Mitigate Current Delivery Limitations In Integrated Circuits
App 20140195996 - Bose; Pradip ;   et al.
2014-07-10
Dynamic Power Distribution
App 20140148961 - Bose; Pradip ;   et al.
2014-05-29
Dynamic Power Distribution
App 20140148927 - Bose; Pradip ;   et al.
2014-05-29
Thread Consolidation In Processor Cores
App 20140143783 - Bose; Pradip ;   et al.
2014-05-22
Thread Consolidation In Processor Cores
App 20140143570 - Bose; Pradip ;   et al.
2014-05-22
Memory Architectures Having Wiring Structures That Enable Different Access Patterns In Multiple Dimensions
App 20140133208 - Buyuktosunoglu; Alper ;   et al.
2014-05-15
Memory Architectures Having Wiring Structures That Enable Different Access Patterns In Multiple Dimensions
App 20140133209 - Buyuktosunoglu; Alper ;   et al.
2014-05-15
Adaptive workload based optimizations to mitigate current delivery limitations in integrated circuits
Grant 8,683,418 - Bose , et al. March 25, 2
2014-03-25
Token-Based Current Control to Mitigate Current Delivery Limitations in Integrated Circuits
App 20140082574 - Bose; Pradip ;   et al.
2014-03-20
Current-aware Floorplanning To Overcome Current Delivery Limitations In Integrated Circuits
App 20140082580 - Bose; Pradip ;   et al.
2014-03-20
On-chip power proxy based architecture
Grant 8,650,413 - Bose , et al. February 11, 2
2014-02-11
Method and system for controlling power in a chip through a power performance monitor and control unit
Grant 8,639,955 - Bose , et al. January 28, 2
2014-01-28
Dynamically tune power proxy architectures
Grant 8,635,483 - Acar , et al. January 21, 2
2014-01-21
3-d Stacked Multiprocessor Structures And Methods To Enable Reliable Operation Of Processors At Speeds Above Specified Limits
App 20140006750 - Buyuktosunoglu; Alper ;   et al.
2014-01-02
3-d Stacked Multiprocessor Structures And Methods To Enable Reliable Operation Of Processors At Speeds Above Specified Limits
App 20140006852 - Buyuktosunoglu; Alper ;   et al.
2014-01-02
Adaptive Workload Based Optimizations To Mitigate Current Delivery Limitations In Integrated Circuits
App 20130339762 - Bose; Pradip ;   et al.
2013-12-19
Power Shifting in Multicore Platforms by Varying SMT Levels
App 20130311811 - Bose; Pradip ;   et al.
2013-11-21
Power Shifting in Multicore Platforms by Varying SMT Levels
App 20130311812 - Bose; Pradip ;   et al.
2013-11-21
3-d Stacked Multiprocessor Structures And Methods For Multimodal Operation Of Same
App 20130283009 - Buyuktosunoglu; Alper ;   et al.
2013-10-24
3-d Stacked Multiprocessor Structures And Methods For Multimodal Operation Of Same
App 20130283008 - Buyuktosunoglu; Alper ;   et al.
2013-10-24
3-d Stacked Multiprocessor Structures And Methods For Multimodal Operation Of Same
App 20130283010 - Buyuktosunoglu; Alper ;   et al.
2013-10-24
3-d Stacked Multiprocessor Structures And Methods For Multimodal Operation Of Same
App 20130283006 - Buyuktosunoglu; Alper ;   et al.
2013-10-24
Voltage regulator module with power gating and bypass
Grant 8,564,262 - Bose , et al. October 22, 2
2013-10-22
Guarded, multi-metric resource control for safe and efficient microprocessor management
Grant 8,527,994 - Bose , et al. September 3, 2
2013-09-03
Multi-threaded Processor Instruction Balancing Through Instruction Uncertainty
App 20130205116 - Buyuktosunoglu; Alper ;   et al.
2013-08-08
Multi-threaded Processor Instruction Balancing Through Instruction Uncertainty
App 20130205118 - Buyuktosunoglu; Alper ;   et al.
2013-08-08
Measuring data switching activity in a microprocessor
Grant 8,458,501 - Bose , et al. June 4, 2
2013-06-04
Local Computation Logic Embedded in a Register File to Accelerate Programs
App 20130046955 - Bose; Pradip ;   et al.
2013-02-21
Predicting Out-of-order Instruction Level Parallelism Of Threads In A Multi-threaded Processor
App 20130007423 - Burcea; Ioana Monica ;   et al.
2013-01-03
Systems and methods for thread assignment and core turn-off for integrated circuit energy efficiency and high-performance
Grant 8,296,773 - Bose , et al. October 23, 2
2012-10-23
Dynamically Tune Power Proxy Architectures
App 20120260117 - Acar; Emrah ;   et al.
2012-10-11
Virtualized Abstraction with Built-in Data Alignment and Simultaneous Event Monitoring in Performance Counter Based Application Characterization and Tuning
App 20120245897 - Bose; Pradip ;   et al.
2012-09-27
On-chip power proxy based architecture
Grant 8,271,809 - Bose , et al. September 18, 2
2012-09-18
Managing instructions for more efficient load/store unit usage
Grant 8,271,765 - Bose , et al. September 18, 2
2012-09-18
Power-efficient thread priority enablement
Grant 8,261,276 - Bose , et al. September 4, 2
2012-09-04
Guarded, Multi-Metric Resource Control for Safe and Efficient Microprocessor Management
App 20120210328 - Bose; Pradip ;   et al.
2012-08-16
Predictive power gating with optional guard mechanism
Grant 8,219,834 - Basak , et al. July 10, 2
2012-07-10
Two-level guarded predictive power gating
Grant 8,219,833 - Basak , et al. July 10, 2
2012-07-10
Voltage Regulator Module with Power Gating and Bypass
App 20120119717 - Bose; Pradip ;   et al.
2012-05-17
Adaptive data prefetch
Grant 8,156,287 - Bose , et al. April 10, 2
2012-04-10
Method And System For Controlling Power In A Chip Through A Power-performance Monitor And Control Unit
App 20120054528 - BOSE; PRADIP ;   et al.
2012-03-01
Method and system for controlling power in a chip through a power-performance monitor and control unit
Grant 8,112,642 - Bose , et al. February 7, 2
2012-02-07
Measuring Data Switching Activity in a Microprocessor
App 20120030481 - Bose; Pradip ;   et al.
2012-02-02
Method and system of multi-core microprocessor power management and control via per-chiplet, programmable power modes
Grant 8,001,394 - Bose , et al. August 16, 2
2011-08-16
Self-tuning power management techniques
Grant 8,001,405 - Dittmann , et al. August 16, 2
2011-08-16
Method and system of peak power enforcement via autonomous token-based control and management
Grant 7,930,578 - Bose , et al. April 19, 2
2011-04-19
Dynamic reconfigurable memory hierarchy
Grant RE42,213 - Dwarkadas , et al. March 8, 2
2011-03-08
Predictive Power Gating with Optional Guard Mechanism
App 20110040995 - Basak; Jayanta ;   et al.
2011-02-17
Two-Level Guarded Predictive Power Gating
App 20110040994 - Basak; Jayanta ;   et al.
2011-02-17
Adaptive issue queue for reduced power at high performance
Grant 7,865,747 - Buyuktosunoglu , et al. January 4, 2
2011-01-04
Memory hierarchy reconfiguration for energy and performance in general-purpose processor architectures
Grant RE41,958 - Dwarkadas , et al. November 23, 2
2010-11-23
On-Chip Power Proxy Based Architecture
App 20100268975 - Bose; Pradip ;   et al.
2010-10-21
On-chip Power Proxy Based Architecture
App 20100268930 - Bose; Pradip ;   et al.
2010-10-21
Managing Instructions For More Efficient Load/store Unit Usage
App 20100262808 - Bose; Pradip ;   et al.
2010-10-14
Adaptive Data Prefetch System and Method
App 20100180081 - Bose; Pradip ;   et al.
2010-07-15
System and method for predicting hardware and/or software metrics in a computer system using models
Grant 7,698,249 - Buyuktosunoglu , et al. April 13, 2
2010-04-13
Self-Tuning Power Management Techniques
App 20100058084 - Dittmann; Gero ;   et al.
2010-03-04
Optimal Performance and Power Management With Two Dependent Actuators
App 20100057404 - Dittmann; Gero ;   et al.
2010-03-04
Systems And Methods For Thread Assignment And Core Turn-off For Integrated Circuit Energy Efficiency And High-performance
App 20090328055 - Bose; Pradip ;   et al.
2009-12-31
Method and apparatus for conserving power by throttling instruction fetching when a processor encounters low confidence branches in an information handling system
Grant 7,627,742 - Bose , et al. December 1, 2
2009-12-01
Power-Efficient Thread Priority Enablement
App 20090249349 - Bose; Pradip ;   et al.
2009-10-01
Method And System Of Multi-core Microprocessor Power Management And Control Via Per-chiplet, Programmable Power Modes
App 20090199020 - Bose; Pradip ;   et al.
2009-08-06
Method And System Of Peak Power Enforcement Via Autonomous Token-based Control And Management
App 20090089602 - Bose; Pradip ;   et al.
2009-04-02
Cost-conscious Pre-emptive Cache Line Displacement And Relocation Mechanisms
App 20090083492 - Buyuktosunoglu; Alper ;   et al.
2009-03-26
Method And System For Controlling Power In A Chip Through A Power-performance Monitor And Control Unit
App 20090049318 - Bose; Pradip ;   et al.
2009-02-19
Methods for thermal management of three-dimensional integrated circuits
Grant 7,487,012 - Bose , et al. February 3, 2
2009-02-03
Cost-conscious pre-emptive cache line displacement and relocation mechanisms
Grant 7,454,573 - Buyuktosunoglu , et al. November 18, 2
2008-11-18
Methods For Thermal Management Of Three-dimensional Integrated Circuits
App 20080281476 - Bose; Pradip ;   et al.
2008-11-13
Systems and methods for mutually exclusive activation of microprocessor resources to control maximum power
Grant 7,447,923 - Bose , et al. November 4, 2
2008-11-04
Method and Apparatus for Conserving Power by Throttling Instruction Fetching When a Processor Encounters Low Confidence Branches in an Information Handling System
App 20080256345 - Bose; Pradip ;   et al.
2008-10-16
Adaptive Fetch Gating In Multithreaded Processors, Fetch Control And Method Of Controlling Fetches
App 20080229068 - BOSE; PRADIP ;   et al.
2008-09-18
Method and system for controlling power in a chip through a power-performance monitor and control unit
Grant 7,421,601 - Bose , et al. September 2, 2
2008-09-02
Apparatus And Method For Predicting A Metric Associated With A Computer System
App 20080177686 - Buyuktosunoglu; Alper ;   et al.
2008-07-24
Adaptive fetch gating in multithreaded processors, fetch control and method of controlling fetches
Grant 7,392,366 - Bose , et al. June 24, 2
2008-06-24
Adaptive Fetch Gating In Multithreaded Processors, Fetch Control And Method Of Controlling Fetches
App 20080133886 - BOSE; PRADIP ;   et al.
2008-06-05
Method and system for controlling power in a chip through a power-performance monitor and control unit
App 20070198863 - Bose; Pradip ;   et al.
2007-08-23
Systems and methods for mutually exclusive activation of microprocessor resources to control maximum power
App 20070043960 - Bose; Pradip ;   et al.
2007-02-22
Apparatus And Method For Dynamic Control Of Double Gate Devices
App 20070035981 - Buyuktosunoglu; Alper ;   et al.
2007-02-15
Apparatus and method for dynamic control of double gate devices
Grant 7,170,772 - Buyuktosunoglu , et al. January 30, 2
2007-01-30
Methods and arrangements for reducing latency and snooping cost in non-uniform cache memory architectures
App 20060248287 - Buyuktosunoglu; Alper ;   et al.
2006-11-02
Cost-conscious pre-emptive cache line displacement and relocation mechanisms
App 20060155933 - Buyuktosunoglu; Alper ;   et al.
2006-07-13
Adaptive fetch gating in multithreaded processors, fetch control and method of controlling fetches
App 20060101238 - Bose; Pradip ;   et al.
2006-05-11
Method and structure for short range leakage control in pipelined circuits
Grant 6,946,869 - Jacobson , et al. September 20, 2
2005-09-20
Method and structure for short range leakage control in pipelined circuits
App 20050083081 - Jacobson, Hans M. ;   et al.
2005-04-21
Adaptive issue queue for reduced power at high performance
App 20020053038 - Buyuktosunoglu, Alper ;   et al.
2002-05-02

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed