loadpatents
name:-0.50299501419067
name:-0.43848586082458
name:-0.26932001113892
Ando; Takashi Patent Filings

Ando; Takashi

Patent Applications and Registrations

Patent applications and USPTO patent grants for Ando; Takashi.The latest application filed is for "phase-change memory device with reduced programming voltage".

Company Profile
200.200.200
  • Ando; Takashi - Eastchester NY
  • Ando; Takashi - Tuckahoe NY
  • Ando; Takashi - Osaka JP
  • ANDO; Takashi - Kiryu-shi JP
  • Ando; Takashi - Tokyo JP
  • Ando; Takashi - Matsubara JP
  • ANDO; Takashi - Matsudo-shi JP
  • Ando; Takashi - Kyoto JP
  • Ando; Takashi - Okazaki JP
  • Ando; Takashi - Otsu JP
  • Ando; Takashi - Toyota JP
  • Ando; Takashi - Kanagawa JP
  • Ando; Takashi - Armonk NY
  • ANDO; Takashi - Settsu-shi JP
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Low-voltage flash memory integrated with a vertical field effect transistor
Grant 11,456,308 - Hekmatshoartabari , et al. September 27, 2
2022-09-27
Resistive switching memory cell
Grant 11,456,416 - Adusumilli , et al. September 27, 2
2022-09-27
Phase-change Memory Device With Reduced Programming Voltage
App 20220293853 - Adusumilli; Praneet ;   et al.
2022-09-15
Asymmetric threshold voltages in semiconductor devices
Grant 11,444,165 - Ando , et al. September 13, 2
2022-09-13
Memory Erasure Using Proximity Heaters
App 20220284958 - Cohen; Guy M. ;   et al.
2022-09-08
Resin Composition And Film
App 20220282089 - Ando; Takashi ;   et al.
2022-09-08
Memory erasure using proximity heaters
Grant 11,437,102 - Cohen , et al. September 6, 2
2022-09-06
Nanosheet Metal-oxide Semiconductor Field Effect Transistor With Asymmetric Threshold Voltage
App 20220278195 - Ando; Takashi ;   et al.
2022-09-01
Non-volatile memory structure and method for low programming voltage for cross bar array
Grant 11,430,513 - Seo , et al. August 30, 2
2022-08-30
Confined work function material for gate-all around transistor devices
Grant 11,430,660 - Zhang , et al. August 30, 2
2022-08-30
Resistance drift mitigation in non-volatile memory cell
Grant 11,430,954 - Adusumilli , et al. August 30, 2
2022-08-30
Multi-level ferroelectric field-effect transistor devices
Grant 11,430,510 - Gong , et al. August 30, 2
2022-08-30
Conductive Bridging Random Access Memory Formed Using Selective Barrier Metal Removal
App 20220271092 - Ando; Takashi ;   et al.
2022-08-25
NCFETS with complimentary capacitance matching using stacked n-type and p-type nanosheets
Grant 11,424,362 - Ando , et al. August 23, 2
2022-08-23
Motor And Air Compressor
App 20220239200 - TANAKA; Hiroyuki ;   et al.
2022-07-28
Multi threshold voltage for nanosheet
Grant 11,387,342 - Zhang , et al. July 12, 2
2022-07-12
Trusted field programmable gate array
Grant 11,379,125 - Plouchart , et al. July 5, 2
2022-07-05
USING FERROELECTRIC FIELD-EFFECT TRANSISTORS (FeFETs) AS CAPACITIVE PROCESSING UNITS FOR IN-MEMORY COMPUTING
App 20220208259 - Gong; Nanbo ;   et al.
2022-06-30
Field Effect Transistor (fet) Devices
App 20220209018 - Vega; Reinaldo ;   et al.
2022-06-30
Programmable controller
Grant D956,001 - Kato , et al. June 28, 2
2022-06-28
Transfer Length Phase Change Material (pcm) Based Bridge Cell
App 20220199899 - Cohen; Guy M. ;   et al.
2022-06-23
Multi Threshold Voltage For Nanosheet
App 20220199796 - Zhang; Jingyun ;   et al.
2022-06-23
Non-volatile Analog Resistive Memory Cells Implementing Ferroelectric Select Transistors
App 20220189526 - Gong; Nanbo ;   et al.
2022-06-16
Ncfets With Complimentary Capacitance Matching Using Stacked N-type And P-type Nanosheets
App 20220190167 - Ando; Takashi ;   et al.
2022-06-16
Phase Change Memory Cell With Ovonic Threshold Switch
App 20220190238 - Gong; Nanbo ;   et al.
2022-06-16
In-memory Resistive Random Access Memory Xor Logic Using Complimentary Switching
App 20220190239 - Ando; Takashi ;   et al.
2022-06-16
Multi-level Ferroelectric Field-effect Transistor Devices
App 20220189546 - Gong; Nanbo ;   et al.
2022-06-16
Laterally switching cell having sub-stoichiometric metal oxide active layer
Grant 11,362,274 - Rozen , et al. June 14, 2
2022-06-14
Dual Resistive Random-access Memory With Two Transistors
App 20220181388 - Reznicek; Alexander ;   et al.
2022-06-09
Stacked Access Device And Resistive Memory
App 20220181550 - Miyazoe; Hiroyuki ;   et al.
2022-06-09
FeFET UNIT CELLS FOR NEUROMORPHIC COMPUTING
App 20220180156 - Gong; Nanbo ;   et al.
2022-06-09
High Density Reram Integration With Interconnect
App 20220181389 - Ando; Takashi ;   et al.
2022-06-09
Decoupling Capacitor Inside Gate Cut Trench
App 20220181252 - Vega; Reinaldo ;   et al.
2022-06-09
Resistance Drift Mitigation In Non-volatile Memory Cell
App 20220173312 - Adusumilli; Praneet ;   et al.
2022-06-02
Phase-change Material-based Xor Logic Gates
App 20220173309 - Gong; Nanbo ;   et al.
2022-06-02
Integrated Non Volatile Memory Electrode Thin Film Resistor Cap And Etch Stop
App 20220165790 - Brew; Kevin W. ;   et al.
2022-05-26
Vertical Transport Cmos Transistors With Asymmetric Threshold Voltage
App 20220165850 - Ando; Takashi ;   et al.
2022-05-26
Resistive Switching Memory Cell
App 20220158091 - Ando; Takashi ;   et al.
2022-05-19
Resistive Switching Memory Cell
App 20220158092 - Adusumilli; Praneet ;   et al.
2022-05-19
Vertical resistive memory device with embedded selectors
Grant 11,335,730 - Ando , et al. May 17, 2
2022-05-17
Semiconductor logic circuits including a non-volatile memory cell
Grant 11,322,202 - Gong , et al. May 3, 2
2022-05-03
Oxygen Vacancy Passivation In High-k Dielectrics For Vertical Transport Field Effect Transistor
App 20220130732 - Lee; Choonghyun ;   et al.
2022-04-28
Gate-all-around Field-effect Transistor With Asymmetric Threshold Voltage
App 20220123144 - Zhang; Jingyun ;   et al.
2022-04-21
Quad-layer high-k for metal-insulator-metal capacitors
Grant 11,309,383 - Choi , et al. April 19, 2
2022-04-19
Ferroelectric field effect transistor with nanowire core
Grant 11,302,810 - Gong , et al. April 12, 2
2022-04-12
FinFET with dual work function metal
Grant 11,302,794 - Xie , et al. April 12, 2
2022-04-12
Thiophene derivative and use thereof
Grant 11,299,485 - Watanabe , et al. April 12, 2
2022-04-12
Low-voltage Flash Memory Integrated With A Vertical Field Effect Transistor
App 20220108997 - Hekmatshoartabari; Bahman ;   et al.
2022-04-07
Semiconductor FET device with bottom isolation and high-.kappa. first
Grant 11,295,988 - Xie , et al. April 5, 2
2022-04-05
Stacked access device and resistive memory
Grant 11,289,650 - Miyazoe , et al. March 29, 2
2022-03-29
Vertical Transport Field-effect Transistors Having Germanium Channel Surfaces
App 20220093473 - Lee; Choonghyun ;   et al.
2022-03-24
Multi-threshold Voltage Gate-all-around Transistors
App 20220085014 - ZHANG; Jingyun ;   et al.
2022-03-17
Semiconductor memory devices formed using selective barrier metal removal
Grant 11,276,732 - Ando , et al. March 15, 2
2022-03-15
Oxygen vacancy passivation in high-k dielectrics for vertical transport field effect transistor
Grant 11,251,094 - Lee , et al. February 15, 2
2022-02-15
Artificial synapse with hafnium oxide-based ferroelectric layer in CMOS back-end
Grant 11,244,999 - Frank , et al. February 8, 2
2022-02-08
Fabricating a gate-all-around (GAA) field effect transistor having threshold voltage asymmetry by thinning source side lateral end portion of the nanosheet layer
Grant 11,239,359 - Zhang , et al. February 1, 2
2022-02-01
Non-volatile analog resistive memory cells implementing ferroelectric select transistors
Grant 11,232,824 - Gong , et al. January 25, 2
2022-01-25
Tamper-resistant Circuit, Back-end Of The Line Memory And Physical Unclonable Function For Supply Chain Protection
App 20220020706 - Plouchart; Jean-Olivier ;   et al.
2022-01-20
Tamper Resistant Obfuscation Circuit
App 20220019703 - Plouchart; Jean-Olivier ;   et al.
2022-01-20
Resistive random access memory cells integrated with shared-gate vertical field effect transistors
Grant 11,222,922 - Reznicek , et al. January 11, 2
2022-01-11
Gate Induced Drain Leakage Reduction In Finfets
App 20220005941 - Reznicek; Alexander ;   et al.
2022-01-06
Resistive Memory With Embedded Metal Oxide Fin For Gradual Switching
App 20220006009 - Ando; Takashi ;   et al.
2022-01-06
Device with pure silicon oxide layer on silicon-germanium layer
Grant 11,217,450 - Ando , et al. January 4, 2
2022-01-04
Vertical intercalation device for neuromorphic computing
Grant 11,211,429 - Tang , et al. December 28, 2
2021-12-28
Fabrication of field effect transistors with different threshold voltages through modified channel interfaces
Grant 11,211,379 - Ando , et al. December 28, 2
2021-12-28
Multiple work function nanosheet transistors with inner spacer modulation
Grant 11,205,698 - Ando , et al. December 21, 2
2021-12-21
Oxide-based Resistive Memory Having A Plasma-exposed Bottom Electrode
App 20210391536 - ANDO; TAKASHI ;   et al.
2021-12-16
Semiconductor FET Device with Bottom Isolation and High-k First
App 20210391222 - Xie; Ruilong ;   et al.
2021-12-16
Vertical Transport Field-effect Transistor Structure Having Increased Effective Width And Self-aligned Anchor For Source/drain Region Formation
App 20210391444 - Xie; Ruilong ;   et al.
2021-12-16
Bottom dielectric isolation structure for nanosheet containing devices
Grant 11,195,911 - Xie , et al. December 7, 2
2021-12-07
Conformal replacement gate electrode for short channel devices
Grant 11,195,929 - Ando , et al. December 7, 2
2021-12-07
Vertical transport field-effect transistors having germanium channel surfaces
Grant 11,195,764 - Lee , et al. December 7, 2
2021-12-07
FinFET 2T2R RRAM
Grant 11,189,661 - Reznicek , et al. November 30, 2
2021-11-30
Formation of vertical transport field-effect transistor structure having increased effective width
Grant 11,189,712 - Xie , et al. November 30, 2
2021-11-30
Tapered resistive memory with interface dipoles
Grant 11,189,786 - Vega , et al. November 30, 2
2021-11-30
Phase Change Memory With Conductive Bridge Filament
App 20210367148 - Gong; Nanbo ;   et al.
2021-11-25
Techniques for forming RRAM cells
Grant 11,183,636 - Cheng , et al. November 23, 2
2021-11-23
Self-aligned edge passivation for robust resistive random access memory connection
Grant 11,183,632 - Ando , et al. November 23, 2
2021-11-23
Semiconductor device including physical unclonable function
Grant 11,177,225 - Hekmatshoartabari , et al. November 16, 2
2021-11-16
RRAM device with spacer for electrode isolation
Grant 11,177,319 - Miyazoe , et al. November 16, 2
2021-11-16
Gate induced drain leakage reduction in FinFETs
Grant 11,177,366 - Reznicek , et al. November 16, 2
2021-11-16
Fabrication of field effect transistors with different threshold voltages through modified channel interfaces
Grant 11,177,257 - Ando , et al. November 16, 2
2021-11-16
Resistive memory with embedded metal oxide fin for gradual switching
Grant 11,177,436 - Ando , et al. November 16, 2
2021-11-16
Secure Chip Identification Using Resistive Processing Unit As A Physically Unclonable Function
App 20210342489 - Kumar; Arvind ;   et al.
2021-11-04
Replacement bottom electrode structure process to form misalignment tolerate MRAM with high yield
Grant 11,165,017 - Hashemi , et al. November 2, 2
2021-11-02
Vertical intercalation device for neuromorphic computing
Grant 11,164,908 - Tang , et al. November 2, 2
2021-11-02
Resistive switching memory with replacement metal electrode
Grant 11,158,795 - Ando , et al. October 26, 2
2021-10-26
Vertical FET with asymmetric threshold voltage and channel thicknesses
Grant 11,158,715 - Lee , et al. October 26, 2
2021-10-26
Multivalent oxide spacers for analog switching resistive memory
Grant 11,158,793 - Ando , et al. October 26, 2
2021-10-26
Multiple Work Function Nanosheet Transistors With Inner Spacer Modulation
App 20210328013 - Ando; Takashi ;   et al.
2021-10-21
Multi-Vt scheme with same dipole thickness for gate-all-around transistors
Grant 11,152,264 - Zhang , et al. October 19, 2
2021-10-19
Long channel optimization for gate-all-around transistors
Grant 11,152,510 - Zhang , et al. October 19, 2
2021-10-19
Structures and methods for equivalent oxide thickness scaling on silicon germanium channel or III-V channel of semiconductor device
Grant 11,152,214 - Ando , et al. October 19, 2
2021-10-19
Phase change memory with conductive bridge filament
Grant 11,145,814 - Gong , et al. October 12, 2
2021-10-12
Resistive memory with core and shell oxides and interface dipoles
Grant 11,145,811 - Ando , et al. October 12, 2
2021-10-12
Resistive random access memory cells integrated with vertical field effect transistor
Grant 11,145,816 - Reznicek , et al. October 12, 2
2021-10-12
Wire Forming Apparatus
App 20210308738 - KANAZAWA; Kenji ;   et al.
2021-10-07
Hybrid gate stack integration for stacked vertical transport field-effect transistors
Grant 11,139,215 - Yamashita , et al. October 5, 2
2021-10-05
Multi-threshold voltage gate-all-around transistors
Grant 11,133,309 - Zhang , et al. September 28, 2
2021-09-28
Nanosheet P-type transistor with oxygen reservoir
Grant 11,133,305 - Ando , et al. September 28, 2
2021-09-28
Finfet With Dual Work Function Metal
App 20210296463 - Xie; Ruilong ;   et al.
2021-09-23
Resistive Random Access Memory Integrated With Stacked Vertical Transistors
App 20210288109 - Balakrishnan; Karthik ;   et al.
2021-09-16
Metal-oxide-based neuromorphic device
Grant 11,121,259 - Rozen , et al. September 14, 2
2021-09-14
Surface area enhancement for stacked metal-insulator-metal (MIM) capacitor
Grant 11,121,209 - Ando , et al. September 14, 2
2021-09-14
Gate-all-around transistor structure
Grant 11,121,218 - Zhang , et al. September 14, 2
2021-09-14
Polyester Film
App 20210276316 - Yamamoto; Masafumi ;   et al.
2021-09-09
Protuberant contacts for resistive switching devices
Grant 11,107,984 - Ando , et al. August 31, 2
2021-08-31
Confining Filament At Pillar Center For Memory Devices
App 20210265566 - Kong; Dexin ;   et al.
2021-08-26
RRAM cells in crossbar array architecture
Grant 11,101,322 - Kong , et al. August 24, 2
2021-08-24
RRAM cells in crossbar array architecture
Grant 11,101,323 - Kong , et al. August 24, 2
2021-08-24
Temperature Assisted Programming Of Flash Memory For Neuromorphic Computing
App 20210249081 - Gong; Nanbo ;   et al.
2021-08-12
Oxygen Vacancy Passivation In High-k Dielectrics For Vertical Transport Field Effect Transistor
App 20210249315 - Lee; Choonghyun ;   et al.
2021-08-12
High-density field-enhanced ReRAM integrated with vertical transistors
Grant 11,088,205 - Ando , et al. August 10, 2
2021-08-10
Asymmetric threshold voltage VTFET with intrinsic dual channel epitaxy
Grant 11,088,139 - Lee , et al. August 10, 2
2021-08-10
Cbram With Controlled Bridge Location
App 20210242402 - Tang; Jianshi ;   et al.
2021-08-05
Semiconductor Device Including Physical Unclonable Function
App 20210242142 - Hekmatshoartabari; Bahman ;   et al.
2021-08-05
Source/drain for gate-all-around devices
Grant 11,081,404 - Zhang , et al. August 3, 2
2021-08-03
Threshold Voltage Adjustment By Inner Spacer Material Selection
App 20210233818 - Ando; Takashi ;   et al.
2021-07-29
Method For Controlling The Forming Voltage In Resistive Random Access Memory Devices
App 20210234096 - Consiglio; Steven ;   et al.
2021-07-29
Stacked Resistive Memory With Individual Switch Control
App 20210233960 - Ando; Takashi ;   et al.
2021-07-29
Nanosheet with buried gate contact
Grant 11,075,301 - Zhang , et al. July 27, 2
2021-07-27
Resistive memory cell structure
Grant 11,075,338 - Ando , et al. July 27, 2
2021-07-27
Reduction Of Drain Leakage In Nanosheet Device
App 20210217846 - Hashemi; Pouya ;   et al.
2021-07-15
Laterally Switching Cell Having Sub-stoichiometric Metal Oxide Active Layer
App 20210217953 - Rozen; John ;   et al.
2021-07-15
Gate Induced Drain Leakage Reduction In Finfets
App 20210217876 - Reznicek; Alexander ;   et al.
2021-07-15
Imaging-element inclination adjustment mechanism, method for adjusting inclination of imaging element, and imaging apparatus
Grant 11,064,120 - Ando July 13, 2
2021-07-13
Resistive memory device with meshed electrodes
Grant 11,063,089 - Ando , et al. July 13, 2
2021-07-13
Vertical transistors having uniform channel length
Grant 11,062,955 - Lee , et al. July 13, 2
2021-07-13
MULTI-Vt SCHEME WITH SAME DIPOLE THICKNESS FOR GATE-ALL-AROUND TRANSISTORS
App 20210210388 - Zhang; Jingyun ;   et al.
2021-07-08
Nanosheet With Buried Gate Contact
App 20210202749 - Zhang; Jingyun ;   et al.
2021-07-01
CBRAM with controlled bridge location
Grant 11,050,023 - Tang , et al. June 29, 2
2021-06-29
Resistive Random Access Memory Cells Integrated With Vertical Field Effect Transistor
App 20210193923 - Reznicek; Alexander ;   et al.
2021-06-24
Back-end-of-line Compatible Metal-insulator-metal On-chip Decoupling Capacitor
App 20210193793 - Jamison; Paul ;   et al.
2021-06-24
Resistive Random Access Memory Cells Integrated With Shared-gate Vertical Field Effect Transistors
App 20210193737 - Reznicek; Alexander ;   et al.
2021-06-24
Bottom Dielectric Isolation Structure For Nanosheet Containing Devices
App 20210193797 - Xie; Ruilong ;   et al.
2021-06-24
Self-Aligned Edge Passivation For Robust Resistive Random Access Memory Connection
App 20210193920 - Ando; Takashi ;   et al.
2021-06-24
Telephone exchange system and telephone exchange apparatus and method, non-transitory computer readable medium storing program
Grant 11,044,362 - Maki , et al. June 22, 2
2021-06-22
Confining filament at pillar center for memory devices
Grant 11,043,634 - Kong , et al. June 22, 2
2021-06-22
High-resistance memory devices
Grant 11,043,535 - Ando , et al. June 22, 2
2021-06-22
Complementary Metal Oxide Semiconductor Device Having Fin Field Effect Transistors With A Common Metal Gate
App 20210183710 - Ando; Takashi ;   et al.
2021-06-17
Stacked resistive memory with individual switch control
Grant 11,037,986 - Ando , et al. June 15, 2
2021-06-15
Tightly integrated 1T1R ReRAM for planar technology
Grant 11,038,103 - Reznicek , et al. June 15, 2
2021-06-15
Threshold voltage adjustment by inner spacer material selection
Grant 11,037,832 - Ando , et al. June 15, 2
2021-06-15
Resistive memory crossbar array with top electrode inner spacers
Grant 11,038,104 - Ando , et al. June 15, 2
2021-06-15
Vertical Resistive Memory Device With Embedded Selectors
App 20210167128 - Ando; Takashi ;   et al.
2021-06-03
Resistive Random Access Memory Integrated With Vertical Transport Field Effect Transistors
App 20210167129 - Reznicek; Alexander ;   et al.
2021-06-03
Vertical FET with differential top spacer
Grant 11,024,724 - Ando , et al. June 1, 2
2021-06-01
Asymmetric channel threshold voltage
Grant 11,024,740 - Lee , et al. June 1, 2
2021-06-01
Reduction of metal resistance in vertical ReRAM cells
Grant 11,018,192 - Ando , et al. May 25, 2
2021-05-25
Multivalent oxide cap for multiple work function gate stacks on high mobility channel materials
Grant 11,018,062 - Ando , et al. May 25, 2
2021-05-25
Gate-all-around Transistor Structure
App 20210151566 - Zhang; Jingyun ;   et al.
2021-05-20
Forming RRAM cell structure with filament confinement
Grant 11,011,704 - Li , et al. May 18, 2
2021-05-18
Memory device having separate programming and resistance readout control
Grant 11,004,511 - Cohen , et al. May 11, 2
2021-05-11
Finfet Device With Partial Interface Dipole Formation For Reduction Of Gate Induced Drain Leakage
App 20210126122 - Ando; Takashi ;   et al.
2021-04-29
Gate Stack Quality For Gate-all-around Field-effect Transistors
App 20210126018 - Zhang; Jingyun ;   et al.
2021-04-29
Vertical array of resistive switching devices having restricted filament regions and tunable top electrode volume
Grant 10,991,763 - Ando , et al. April 27, 2
2021-04-27
Method for controlling the forming voltage in resistive random access memory devices
Grant 10,991,881 - Consiglio , et al. April 27, 2
2021-04-27
Resistive Memory with Core and Shell Oxides and Interface Dipoles
App 20210119122 - Ando; Takashi ;   et al.
2021-04-22
Confined Work Function Material For Gate-all Around Transistor Devices
App 20210118683 - Zhang; Jingyun ;   et al.
2021-04-22
Gate stack optimization for wide and narrow nanosheet transistor devices
Grant 10,985,069 - Zhang , et al. April 20, 2
2021-04-20
Asymmetric Threshold Voltages In Semiconductor Devices
App 20210111255 - Ando; Takashi ;   et al.
2021-04-15
Surface area enhancement for stacked metal-insulator-metal (MIM) capacitor
Grant 10,978,551 - Ando , et al. April 13, 2
2021-04-13
Oxygen reservoir for low threshold voltage P-type MOSFET
Grant 10,971,593 - Ando , et al. April 6, 2
2021-04-06
Method of forming a complementary metal oxide semiconductor device having fin field effect transistors with a common metal gate
Grant 10,971,407 - Ando , et al. April 6, 2
2021-04-06
Semiconductor memory device having a vertical active region
Grant 10,971,549 - Li , et al. April 6, 2
2021-04-06
Tapered Resistive Memory with Interface Dipoles
App 20210098698 - Vega; Reinaldo ;   et al.
2021-04-01
Hybrid gate stack integration for stacked vertical transport field-effect transistors
Grant 10,964,603 - Yamashita , et al. March 30, 2
2021-03-30
Conductive Bridging Random Access Memory Formed Using Selective Barrier Metal Removal
App 20210091141 - Ando; Takashi ;   et al.
2021-03-25
Three-terminal copper-driven neuromorphic device
Grant 10,957,937 - Todorov , et al. March 23, 2
2021-03-23
Resistive random-access memory array with reduced switching resistance variability
Grant 10,957,742 - Ando , et al. March 23, 2
2021-03-23
Method having resistive memory crossbar array employing selective barrier layer growth
Grant 10,950,787 - Ando , et al. March 16, 2
2021-03-16
Resistive memory device with meshed electrodes
Grant 10,950,662 - Ando , et al. March 16, 2
2021-03-16
FinFET 2T2R RRAM
App 20210074765 - Reznicek; Alexander ;   et al.
2021-03-11
Semiconductor-on-insulator finFET devices with high thermal conductivity dielectrics
Grant 10,943,924 - Lee , et al. March 9, 2
2021-03-09
Confined work function material for gate-all around transistor devices
Grant 10,943,787 - Zhang , et al. March 9, 2
2021-03-09
Asymmetric threshold voltage VTFET with intrinsic dual channel epitaxy
Grant 10,943,903 - Lee , et al. March 9, 2
2021-03-09
Thiophene Derivative And Use Thereof
App 20210061795 - WATANABE; Mayumi ;   et al.
2021-03-04
Vertical transport FETs having a gradient threshold voltage
Grant 10,937,883 - Lee , et al. March 2, 2
2021-03-02
Vertical Transport Field-effect Transistor Structure Having Increased Effective Width And Self-aligned Anchor For Source/drain Region Formation
App 20210057568 - Xie; Ruilong ;   et al.
2021-02-25
Multiple work function nanosheet field effect transistor using sacrificial silicon germanium growth
Grant 10,930,762 - Ando , et al. February 23, 2
2021-02-23
Phase Change Memory With Conductive Bridge Filament
App 20210050518 - Gong; Nanbo ;   et al.
2021-02-18
Co-integration of high carrier mobility PFET and NFET devices on the same substrate using low temperature condensation
Grant 10,923,403 - Ando , et al. February 16, 2
2021-02-16
Intercalation cells for multi-task learning
Grant 10,915,811 - Ando , et al. February 9, 2
2021-02-09
Formation of pure silicon oxide interfacial layer on silicon-germanium channel field effect transistor device
Grant 10,916,432 - Ando , et al. February 9, 2
2021-02-09
Resistive memory crossbar array employing selective barrier layer growth
Grant 10,916,699 - Ando , et al. February 9, 2
2021-02-09
Asymmetric threshold voltage FinFET device by partial channel doping variation
Grant 10,916,659 - Reznicek , et al. February 9, 2
2021-02-09
Memory Device Having Separate Programming And Resistance Readout Control
App 20210035639 - Cohen; Guy M. ;   et al.
2021-02-04
Transmission device
Grant 10,907,702 - Nagata , et al. February 2, 2
2021-02-02
Back-end-of-line Compatible Metal-insulator-metal On-chip Decoupling Capacitor
App 20210028274 - Jamison; Paul ;   et al.
2021-01-28
Resistive RAM cell structure for gradual set programming
Grant 10,903,424 - Adusumilli , et al. January 26, 2
2021-01-26
Oxygen vacancy and filament-loss protection for resistive switching devices
Grant 10,903,425 - Ando , et al. January 26, 2
2021-01-26
Controlling filament formation and location in a resistive random-access memory device
Grant 10,903,421 - Kong , et al. January 26, 2
2021-01-26
Metal-oxide-based Neuromorphic Device
App 20210020780 - ROZEN; JOHN ;   et al.
2021-01-21
Formation of wrap-around-contact to reduce contact resistivity
Grant 10,896,965 - Carr , et al. January 19, 2
2021-01-19
Asymmetric threshold voltages in semiconductor devices
Grant 10,896,962 - Ando , et al. January 19, 2
2021-01-19
Multivalent oxide cap for analog switching resistive memory
Grant 10,892,408 - Ando , et al. January 12, 2
2021-01-12
Cbram With Controlled Bridge Location
App 20210005813 - Tang; Jianshi ;   et al.
2021-01-07
Formation of self-limited inner spacer for gate-all-around nanosheet FET
Grant 10,886,369 - Zhang , et al. January 5, 2
2021-01-05
Multilayer dielectric for metal-insulator-metal capacitor (MIMCAP) capacitance and leakage improvement
Grant 10,886,362 - Ando , et al. January 5, 2
2021-01-05
Paired intercalation cells for drift migration
Grant 10,885,979 - Tang , et al. January 5, 2
2021-01-05
Vertical array of resistive switching devices having a tunable oxygen vacancy concentration
Grant 10,886,334 - Ando , et al. January 5, 2
2021-01-05
Formation of wrap-around-contact to reduce contact resistivity
Grant 10,886,376 - Carr , et al. January 5, 2
2021-01-05
CBRAM by subtractive etching of metals
Grant 10,886,467 - Miyazoe , et al. January 5, 2
2021-01-05
Vertically stacked nFETs and pFETs with gate-all-around structure
Grant 10,879,352 - Zhang , et al. December 29, 2
2020-12-29
Vertical transport Fin field effect transistors combined with resistive memory structures
Grant 10,879,311 - Lee , et al. December 29, 2
2020-12-29
Stacked nanosheet 4T2R unit cell for neuromorphic computing
Grant 10,879,308 - Ando , et al. December 29, 2
2020-12-29
Stacked Resistive Memory With Individual Switch Control
App 20200403034 - Ando; Takashi ;   et al.
2020-12-24
Vertical Fet With Asymmetric Threshold Voltage And Channel Thicknesses
App 20200403065 - Lee; Choonghyun ;   et al.
2020-12-24
Transmission device
Grant 10,871,200 - Nagata , et al. December 22, 2
2020-12-22
OXYGEN RESERVOIR FOR LOW Vth PFET
App 20200395458 - Ando; Takashi ;   et al.
2020-12-17
Complementary Metal Oxide Semiconductor Device Having Fin Field Effect Transistors With A Common Metal Gate
App 20200388544 - Ando; Takashi ;   et al.
2020-12-10
Neuromorphic Device With Oxygen Scavenging Gate
App 20200387779 - Todorov; Teodor Krassimirov ;   et al.
2020-12-10
Forming Rram Cell Structure With Filament Confinement
App 20200381621 - Li; Juntao ;   et al.
2020-12-03
Threshold Voltage Adjustment By Inner Spacer Material Selection
App 20200381305 - Ando; Takashi ;   et al.
2020-12-03
Method For Controlling The Forming Voltage In Resistive Random Access Memory Devices
App 20200381624 - Consiglio; Steven ;   et al.
2020-12-03
Asymmetric Threshold Voltages In Semiconductor Devices
App 20200381520 - Ando; Takashi ;   et al.
2020-12-03
Carbon sheet, gas diffusion electrode substrate and fuel cell
Grant 10,854,887 - Tanimura , et al. December 1, 2
2020-12-01
Resistive Memory Cell Structure
App 20200373482 - Ando; Takashi ;   et al.
2020-11-26
Vertical Intercalation Device For Neuromorphic Computing
App 20200373354 - Tang; Jianshi ;   et al.
2020-11-26
Multi-threshold Voltage Gate-all-around Transistors
App 20200373300 - ZHANG; Jingyun ;   et al.
2020-11-26
Asymmetric Channel Threshold Voltage
App 20200373429 - Lee; Choonghyun ;   et al.
2020-11-26
Nanosheet P-type Transistor With Oxygen Reservoir
App 20200365584 - Ando; Takashi ;   et al.
2020-11-19
Hybrid Gate Stack Integration For Stacked Vertical Transport Field-effect Transistors
App 20200365469 - Yamashita; Tenko ;   et al.
2020-11-19
Resistive Ram Cell Structure For Gradual Set Programming
App 20200357989 - Adusumilli; Praneet ;   et al.
2020-11-12
Lateral Electrochemical Cell With Symmetric Response For Neuromorphic Computing
App 20200357995 - Copel; Matthew W. ;   et al.
2020-11-12
Rram Device With Spacer For Electrode Isolation
App 20200357852 - MIYAZOE; HIROYUKI ;   et al.
2020-11-12
Three-dimensional and planar memory device co-integration
Grant 10,833,127 - Ando , et al. November 10, 2
2020-11-10
Dual metal-insulator-semiconductor contact structure and formulation method
Grant 10,833,019 - Ando , et al. November 10, 2
2020-11-10
Leakage current reduction in stacked metal-insulator-metal capacitors
Grant 10,833,148 - Ando , et al. November 10, 2
2020-11-10
Lateral electrochemical cell with symmetric response for neuromorphic computing
Grant 10,833,270 - Copel , et al. November 10, 2
2020-11-10
Resistive memory crossbar array with a multilayer hardmask
Grant 10,833,268 - Miyazoe , et al. November 10, 2
2020-11-10
Resistive memory crossbar array with ruthenium protection layer
Grant 10,833,266 - Ando , et al. November 10, 2
2020-11-10
Quadruple gate dielectric for gate-all-around transistors
Grant 10,832,960 - Zhang , et al. November 10, 2
2020-11-10
Source/drain For Gate-all-around Devices
App 20200350215 - Zhang; Jingyun ;   et al.
2020-11-05
Cbram By Subtractive Etching Of Metals
App 20200350499 - Miyazoe; Hiroyuki ;   et al.
2020-11-05
Nanosheet with selective dipole diffusion into high-k
Grant 10,825,736 - Zhang , et al. November 3, 2
2020-11-03
Resistive Memory With Embedded Metal Oxide Fin For Gradual Switching
App 20200343448 - Ando; Takashi ;   et al.
2020-10-29
Hybrid vehicle
Grant 10,814,862 - Shimizu , et al. October 27, 2
2020-10-27
Multi-threshold vertical FETs with common gates
Grant 10,811,413 - Ando , et al. October 20, 2
2020-10-20
Paired Intercalation Cells For Drift Migration
App 20200327941 - Tang; Jianshi ;   et al.
2020-10-15
Hybrid Gate Stack Integration For Stacked Vertical Transport Field-effect Transistors
App 20200328127 - Yamashita; Tenko ;   et al.
2020-10-15
Confining Filament At Pillar Center For Memory Devices
App 20200328346 - Kong; Dexin ;   et al.
2020-10-15
Integrated ferroelectric capacitor/field effect transistor structure
Grant 10,804,382 - Ando , et al. October 13, 2
2020-10-13
Telephone Exchange System And Telephone Exchange Apparatus And Method, Non-transitory Computer Readable Medium Storing Program
App 20200322481 - MAKI; Hideo ;   et al.
2020-10-08
Multivalent oxide cap for analog switching resistive memory
Grant 10,797,235 - Ando , et al. October 6, 2
2020-10-06
Multivalent Oxide Spacers For Analog Switching Resistive Memory
App 20200313088 - Ando; Takashi ;   et al.
2020-10-01
Vertical Transistors Having Uniform Channel Length
App 20200312722 - Lee; Choonghyun ;   et al.
2020-10-01
Stacked Resistive Random Access Memory With Integrated Access Transistor And High Density Layout
App 20200312912 - VEGA; REINALDO ;   et al.
2020-10-01
Protuberant contacts for resistive switching devices
Grant 10,790,445 - Ando , et al. September 29, 2
2020-09-29
Programmable controller
Grant D897,294 - Kato , et al. September 29, 2
2020-09-29
VFET with channel profile control using selective GE oxidation and drive-out
Grant 10,790,357 - Hashemi , et al. September 29, 2
2020-09-29
Integrated resistive processing unit to avoid abrupt set of RRAM and abrupt reset of PCM
Grant 10,784,313 - Gong , et al. Sept
2020-09-22
Replacement Bottom Electrode Structure Process To Form Misalignment Tolerate Mram With High Yield
App 20200295256 - Hashemi; Pouya ;   et al.
2020-09-17
Stacked Access Device And Resistive Memory
App 20200287135 - Miyazoe; Hiroyuki ;   et al.
2020-09-10
Three-dimensional And Planar Memory Device Co-integration
App 20200286956 - Ando; Takashi ;   et al.
2020-09-10
Three-Terminal Copper-Driven Neuromorphic Device
App 20200287236 - Todorov; Teodor K. ;   et al.
2020-09-10
Stacked resistive random access memory with integrated access transistor and high density layout
Grant 10,770,512 - Vega , et al. Sep
2020-09-08
Enhanced field resistive RAM integrated with nanosheet technology
Grant 10,770,461 - Hashemi , et al. Sep
2020-09-08
Solid state imaging device for reducing dark current
Grant 10,770,500 - Oshiyama , et al. Sep
2020-09-08
I/o Device For Gate-all-around Transistors
App 20200279777 - Zhang; Jingyun ;   et al.
2020-09-03
I/O device for gate-all-around transistors
Grant 10,763,177 - Zhang , et al. Sep
2020-09-01
Vertical Intercalation Device For Neuromorphic Computing
App 20200273911 - TANG; Jianshi ;   et al.
2020-08-27
Confined Work Function Material For Gate-all Around Transistor Devices
App 20200273710 - Zhang; Jingyun ;   et al.
2020-08-27
Resistive Random-access Memory Device With Step Height Difference
App 20200274061 - Miyazoe; Hiroyuki ;   et al.
2020-08-27
Resistive Memory Crossbar Array With A Multilayer Hardmask
App 20200274067 - Miyazoe; Hiroyuki ;   et al.
2020-08-27
Imaging-element Inclination Adjustment Mechanism, Method For Adjusting Inclination Of Imaging Element, And Imaging Apparatus
App 20200275027 - ANDO; Takashi
2020-08-27
Stacked nanosheet technology with uniform Vth control
Grant 10,756,176 - Hashemi , et al. A
2020-08-25
Resistive Switching Memory With Replacement Metal Electrode
App 20200263620 - Ando; Takashi ;   et al.
2020-08-20
Vertically stacked nFET and pFET with dual work function
Grant 10,748,994 - Reznicek , et al. A
2020-08-18
Stacked indium gallium arsenide nanosheets on silicon with bottom trapezoid isolation
Grant 10,748,990 - Ando , et al. A
2020-08-18
Vertical transport FETs with asymmetric channel profiles using dipole layers
Grant 10,748,819 - Ando , et al. A
2020-08-18
Mutliple Dielectrics For Gate-all-around Transistors
App 20200258786 - A1
2020-08-13
Quadruple Gate Dielectric For Gate-all-around Transistors
App 20200258785 - A1
2020-08-13
Vertical Transport Fin Field Effect Transistors Combined With Resistive Memory Structures
App 20200258941 - A1
2020-08-13
Vfet With Channel Profile Control Using Selective Ge Oxidation And Drive-out
App 20200251558 - Kind Code
2020-08-06
Field-effect transistor unit cells for neural networks with differential weights
Grant 10,734,447 - Ando , et al.
2020-08-04
Multiple dielectrics for gate-all-around transistors
Grant 10,734,286 - Ando , et al.
2020-08-04
Stacked MIM capacitors with self-aligned contact to reduce via enclosure
Grant 10,734,475 - Ando , et al.
2020-08-04
Protuberant contacts for resistive switching devices
Grant 10,734,579 - Ando , et al.
2020-08-04
FinFET CMOS with asymmetric gate threshold voltage
Grant 10,734,479 - Reznicek , et al.
2020-08-04
Techniques for Forming RRAM Cells
App 20200243767 - Cheng; Kangguo ;   et al.
2020-07-30
Resistive switching memory with replacement metal electrode
Grant 10,727,407 - Ando , et al.
2020-07-28
Finfet Cmos With Asymmetric Gate Threshold Voltage
App 20200235209 - Reznicek; Alexander ;   et al.
2020-07-23
Vertical transistors having a layer of charge carriers in the extension region for reduced extension region resistance
Grant 10,720,502 - Ando , et al.
2020-07-21
Resistive Memory Crossbar Array With Top Electrode Inner Spacers
App 20200220078 - Ando; Takashi ;   et al.
2020-07-09
Vertically stacked nFET and pFET with dual work function
Grant 10,707,304 - Reznicek , et al.
2020-07-07
Gate Stack Optimization For Wide And Narrow Nanosheet Transistor Devices
App 20200211902 - Zhang; Jingyun ;   et al.
2020-07-02
Multi-threshold voltage gate-all-around field-effect transistor devices with common gates
Grant 10,700,064 - Zhang , et al.
2020-06-30
Co-integration of high carrier mobility PFET and NFET devices on the same substrate using low temperature condensation
Grant 10,699,967 - Ando , et al.
2020-06-30
Vertical array of resistive switching devices having a tunable oxygen vacancy concentration
Grant 10,700,129 - Ando , et al.
2020-06-30
Oxygen-Free Plasma Etching For Contact Etching of Resistive Random Access Memory
App 20200203607 - Koty; Devi ;   et al.
2020-06-25
HIGH-DENSITY FIELD-ENHANCED ReRAM INTEGRATED WITH VERTICAL TRANSISTORS
App 20200203428 - Ando; Takashi ;   et al.
2020-06-25
Co-integrated channel and gate formation scheme for nanosheet transistors having separately tuned threshold voltages
Grant 10,692,866 - Ando , et al.
2020-06-23
Gate formation scheme for nanosheet transistors having different work function metals and different nanosheet width dimensions
Grant 10,692,873 - Ando , et al.
2020-06-23
Artificial synapse with hafnium oxide-based ferroelectric layer in CMOS front-end
Grant 10,686,040 - Frank , et al.
2020-06-16
Semiconductor memory device having a vertical active region
Grant 10,686,014 - Li , et al.
2020-06-16
Artificial synapse with hafnium oxide-based ferroelectric layer in CMOS front-end
Grant 10,686,039 - Frank , et al.
2020-06-16
Germanium condensation for replacement metal gate devices with silicon germanium channel
Grant 10,686,076 - Ando , et al.
2020-06-16
Fabrication Of Field Effect Transistors With Different Threshold Voltages Through Modified Channel Interfaces
App 20200185380 - Ando; Takashi ;   et al.
2020-06-11
Fabrication Of Field Effect Transistors With Different Threshold Voltages Through Modified Channel Interfaces
App 20200185381 - Ando; Takashi ;   et al.
2020-06-11
Integrated Ferroelectric Capacitor/ Field Effect Transistor Structure
App 20200176586 - Ando; Takashi ;   et al.
2020-06-04
Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap
Grant 10,672,671 - Ando , et al.
2020-06-02
Ferroelectric gate dielectric with scaled interfacial layer for steep sub-threshold slope field-effect transistor
Grant 10,672,881 - Ando , et al.
2020-06-02
Stacked gate all around MOSFET with symmetric inner spacer formed via sacrificial pure Si anchors
Grant 10,672,891 - Hashemi , et al.
2020-06-02
Resistive memory crossbar array with top electrode inner spacers
Grant 10,672,980 - Ando , et al.
2020-06-02
Resistive memory crossbar array compatible with Cu metallization
Grant 10,672,984 - Ando , et al.
2020-06-02
Dedicated contacts for controlled electroforming of memory cells in resistive random-access memory array
Grant 10,658,585 - Ando , et al.
2020-05-19
Vertical resistive processing unit with air gap
Grant 10,658,582 - Ando , et al.
2020-05-19
Forming RRAM cell structure with filament confinement
Grant 10,658,583 - Li , et al.
2020-05-19
High-density field-enhanced ReRAM integrated with vertical transistors
Grant 10,658,429 - Ando , et al.
2020-05-19
Vertically stacked dual channel nanosheet devices
Grant 10,658,462 - Lee , et al.
2020-05-19
Techniques for forming RRAM cells
Grant 10,658,590 - Cheng , et al.
2020-05-19
Vertical Transport Fets With Asymmetric Channel Profiles Using Dipole Layers
App 20200152762 - Ando; Takashi ;   et al.
2020-05-14
Reduction Of Metal Resistance In Vertical Reram Cells
App 20200152702 - Ando; Takashi ;   et al.
2020-05-14
Thermoplastic Resin, Thermoplastic Resin Composition, And Heat Conductive Sheet
App 20200148815 - ANDO; Takashi ;   et al.
2020-05-14
Stacked Nanosheet Technology With Uniform Vth Control
App 20200152737 - Hashemi; Pouya ;   et al.
2020-05-14
Vertical FET with Differential Top Spacer
App 20200152769 - Ando; Takashi ;   et al.
2020-05-14
TIGHTLY INTEGRATED 1T1R ReRAM FOR PLANAR TECHNOLOGY
App 20200152866 - Reznicek; Alexander ;   et al.
2020-05-14
High density antifuse co-integrated with vertical FET
Grant 10,651,123 - Reznicek , et al.
2020-05-12
Rram Crossbar Array Structure For Multi-task Learning
App 20200143233 - Ando; Takashi ;   et al.
2020-05-07
Gate stack optimization for wide and narrow nanosheet transistor devices
Grant 10,643,899 - Zhang , et al.
2020-05-05
Carbon sheet, gas diffusion electrode substrate, wound body, and fuel cell
Grant 10,637,069 - Sode , et al.
2020-04-28
Field-effect Transistor Unit Cells For Neural Networks With Differential Weights
App 20200127054 - Ando; Takashi ;   et al.
2020-04-23
Vertical Transistors Having A Layer Of Charge Carriers In The Extension Region For Reduced Extension Region Resistance
App 20200127104 - Ando; Takashi ;   et al.
2020-04-23
Reduction of negative bias temperature instability
Grant 10,622,355 - Ando , et al.
2020-04-14
Multiple work function nanosheet field-effect transistors with differential interfacial layer thickness
Grant 10,622,466 - Ando , et al.
2020-04-14
Resistive Memory Device With Meshed Electrodes
App 20200111838 - Ando; Takashi ;   et al.
2020-04-09
Resistive Memory Device With Meshed Electrodes
App 20200111837 - Ando; Takashi ;   et al.
2020-04-09
Asymmetric Threshold Voltage Vtfet With Intrinsic Dual Channel Epitaxy
App 20200111787 - Lee; Choonghyun ;   et al.
2020-04-09
Full Air-gap Spacers For Gate-all-around Nanosheet Field Effect Transistors
App 20200111886 - Ando; Takashi ;   et al.
2020-04-09
Asymmetric Threshold Voltage Vtfet With Intrinsic Dual Channel Epitaxy
App 20200111788 - Lee; Choonghyun ;   et al.
2020-04-09
Stacked Gate All Around MOSFET with Symmetric Inner Spacer Formed via Sacrificial Pure Si Anchors
App 20200111888 - Hashemi; Pouya ;   et al.
2020-04-09
Resistive Memory Crossbar Array With Ruthenium Protection Layer
App 20200111958 - Ando; Takashi ;   et al.
2020-04-09
Formation of pure silicon oxide interfacial layer on silicon-germanium channel field effect transistor device
Grant 10,615,043 - Ando , et al.
2020-04-07
Full Air-gap Spacers For Gate-all-around Nanosheet Field Effect Transistors
App 20200105896 - Ando; Takashi ;   et al.
2020-04-02
Gate-all-around Field-effect Transistor With Asymmetric Threshold Voltage
App 20200105929 - Zhang; Jingyun ;   et al.
2020-04-02
Controlling Filament Formation And Location In A Resistive Random-access Memory Device
App 20200106010 - Kong; Dexin ;   et al.
2020-04-02
Resistive random access memory with metal fin electrode
Grant 10,608,179 - Ando , et al.
2020-03-31
Fabrication of field effect transistors with different threshold voltages through modified channel interfaces
Grant 10,607,990 - Ando , et al.
2020-03-31
Techniques for Forming RRAM Cells
App 20200098987 - Cheng; Kangguo ;   et al.
2020-03-26
Formation Of Wrap-around-contact To Reduce Contact Resistivity
App 20200098928 - Carr; Adra ;   et al.
2020-03-26
Formation Of Wrap-around-contact To Reduce Contact Resistivity
App 20200098927 - Carr; Adra ;   et al.
2020-03-26
Vertical transport FETs having a gradient threshold voltage
Grant 10,600,883 - Lee , et al.
2020-03-24
Integrated ferroelectric capacitor/ field effect transistor structure
Grant 10,600,892 - Ando , et al.
2020-03-24
Vertical Array Of Resistive Switching Devices Having A Tunable Oxygen Vacancy Concentration
App 20200091231 - Ando; Takashi ;   et al.
2020-03-19
Semiconductor Memory Device Having A Vertical Active Region
App 20200091245 - LI; JUNTAO ;   et al.
2020-03-19
Protuberant Contacts For Resistive Switching Devices
App 20200091427 - Ando; Takashi ;   et al.
2020-03-19
Asymmetric Threshold Voltage Finfet Device By Partial Channel Doping Variation
App 20200091344 - Reznicek; Alexander ;   et al.
2020-03-19
Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap
Grant 10,593,600 - Ando , et al.
2020-03-17
Carbon sheet, gas diffusion electrode substrate and fuel cell
Grant 10,593,956 - Sode , et al.
2020-03-17
Vertical array of resistive switching devices having restricted filament regions and tunable top electrode volume
Grant 10,593,729 - Ando , et al.
2020-03-17
Multivalent Oxide Cap For Multiple Work Function Gate Stacks On High Mobility Channel Materials
App 20200083113 - Ando; Takashi ;   et al.
2020-03-12
Co-integrated Channel And Gate Formation Scheme For Nanosheet Transistors Having Separately Tuned Threshold Voltages
App 20200083221 - Ando; Takashi ;   et al.
2020-03-12
Vertical Array Of Resistive Switching Devices Having Restricted Filament Regions And Tunable Top Electrode Volume
App 20200083293 - Ando; Takashi ;   et al.
2020-03-12
Formation of wrap-around-contact to reduce contact resistivity
Grant 10,586,872 - Carr , et al.
2020-03-10
Enhanced Field Resistive Ram Integrated With Nanosheet Technology
App 20200075599 - Hashemi; Pouya ;   et al.
2020-03-05
Oxygen Vacancy And Filament-loss Protection For Resistive Switching Devices
App 20200075860 - Ando; Takashi ;   et al.
2020-03-05
Multivalent oxide cap for multiple work function gate stacks on high mobility channel materials
Grant 10,580,703 - Ando , et al.
2020-03-03
Tightly integrated 1T1R ReRAM for planar technology
Grant 10,580,977 - Reznicek , et al.
2020-03-03
Fabricating a vertical ReRAM array structure having reduced metal resistance
Grant 10,580,829 - Ando , et al.
2020-03-03
Conformal Replacement Gate Electrode For Short Channel Devices
App 20200066859 - Ando; Takashi ;   et al.
2020-02-27
Resistive Memory Crossbar Array Employing Selective Barrier Layer Growth
App 20200066982 - Ando; Takashi ;   et al.
2020-02-27
Rram Cells In Crossbar Array Architecture
App 20200066798 - Kong; Dexin ;   et al.
2020-02-27
Vertical Transport Fets With Asymmetric Channel Profiles Using Dipole Layers
App 20200066864 - Ando; Takashi ;   et al.
2020-02-27
Rram Cells In Crossbar Array Architecture
App 20200066797 - Kong; Dexin ;   et al.
2020-02-27
Patterned Gate Dielectrics For Iii-v-based Cmos Circuits
App 20200066724 - Ando; Takashi ;   et al.
2020-02-27
Dual Metal-insulator-semiconductor Contact Structure And Formulation Method
App 20200066638 - Ando; Takashi ;   et al.
2020-02-27
Resistive Memory Crossbar Array Employing Selective Barrier Layer Growth
App 20200066983 - Ando; Takashi ;   et al.
2020-02-27
Vertical transport FETs with asymmetric channel profiles using dipole layers
Grant 10,573,723 - Ando , et al. Feb
2020-02-25
Vertical Transport Fets Having A Gradient Threshold Voltage
App 20200058753 - Lee; Choonghyun ;   et al.
2020-02-20
Gate stack quality for gate-all-around field-effect transistors
Grant 10,566,435 - Zhang , et al. Feb
2020-02-18
Rram Cells In Crossbar Array Architecture
App 20200052037 - Kong; Dexin ;   et al.
2020-02-13
Multi-threshold Vertical Fets With Common Gates
App 20200051979 - Ando; Takashi ;   et al.
2020-02-13
Resistive Switching Memory With Replacement Metal Electrode
App 20200052207 - Ando; Takashi ;   et al.
2020-02-13
RRAM cells in crossbar array architecture
Grant 10,559,625 - Kong , et al. Feb
2020-02-11
Vertical FET with differential top spacer
Grant 10,559,676 - Ando , et al. Feb
2020-02-11
Gate Stack Optimization For Wide And Narrow Nanosheet Transistor Devices
App 20200035563 - Zhang; Jingyun ;   et al.
2020-01-30
TIGHTLY INTEGRATED 1T1R ReRAM FOR PLANAR TECHNOLOGY
App 20200035915 - Reznicek; Alexander ;   et al.
2020-01-30
Long Channel Optimization For Gate-all-around Transistors
App 20200035820 - Zhang; Jingyun ;   et al.
2020-01-30
Resistive Memory Crossbar Array With Top Electrode Inner Spacers
App 20200028076 - Ando; Takashi ;   et al.
2020-01-23
Resistive Memory Crossbar Array Compatible With Cu Metallization
App 20200028080 - Ando; Takashi ;   et al.
2020-01-23
Co-integrated Channel And Gate Formation Scheme For Nanosheet Transistors Having Separately Tuned Threshold Voltages
App 20200020690 - Ando; Takashi ;   et al.
2020-01-16
Formation Of Pure Silicon Oxide Interfacial Layer On Silicon-germanium Channel Field Effect Transistor Device
App 20200020539 - Ando; Takashi ;   et al.
2020-01-16
Vertically Stacked Dual Channel Nanosheet Devices
App 20200020768 - Lee; Choonghyun ;   et al.
2020-01-16
Formation Of Wrap-around-contact To Reduce Contact Resistivity
App 20200013900 - Carr; Adra ;   et al.
2020-01-09
Co-integration Of High Carrier Mobililty Pfet And Nfet Devices On The Same Substrate Using Low Temperature Condensation
App 20200006147 - Ando; Takashi ;   et al.
2020-01-02
Vertically Stacked Nfet And Pfet With Dual Work Function
App 20200006479 - Reznicek; Alexander ;   et al.
2020-01-02
Reduction Of Metal Resistance In Vertical Reram Cells
App 20200006426 - Ando; Takashi ;   et al.
2020-01-02
Gate Formation Scheme For Nanosheet Transistors Having Different Work Function Metals And Different Nanosheet Width Dimensions
App 20200006356 - Ando; Takashi ;   et al.
2020-01-02
Co-integration Of High Carrier Mobililty Pfet And Nfet Devices On The Same Substrate Using Low Temperature Condensation
App 20200006146 - Ando; Takashi ;   et al.
2020-01-02
Cointegration Of Iii-v Channels And Germanium Channels For Vertical Field Effect Transistors
App 20190393104 - Ando; Takashi ;   et al.
2019-12-26
Semiconductor Memory Device Having A Vertical Active Region
App 20190393269 - LI; JUNTAO ;   et al.
2019-12-26
CMOS Compatible Non-Filamentary Resistive Memory Stack
App 20190393413 - Ando; Takashi ;   et al.
2019-12-26
Formation Of Self-limited Inner Spacer For Gate-all-around Nanosheet Fet
App 20190393306 - Zhang; Jingyun ;   et al.
2019-12-26
Vertical Array Of Resistive Switching Devices Having A Tunable Oxygen Vacancy Concentration
App 20190393266 - Ando; Takashi ;   et al.
2019-12-26
Vertically Stacked Nfet And Pfet With Dual Work Function
App 20190393307 - Reznicek; Alexander ;   et al.
2019-12-26
Vertical Array Of Resistive Switching Devices Having Restricted Filament Regions And Tunable Top Electrode Volume
App 20190378876 - Ando; Takashi ;   et al.
2019-12-12
Vertical Resistive Processing Unit With Air Gap
App 20190378976 - ANDO; TAKASHI ;   et al.
2019-12-12

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed