loadpatents
name:-0.60835194587708
name:-0.50324010848999
name:-0.12108182907104
Anderson; Brent A. Patent Filings

Anderson; Brent A.

Patent Applications and Registrations

Patent applications and USPTO patent grants for Anderson; Brent A..The latest application filed is for "interconnects having spacers for improved top via critical dimension and overlay tolerance".

Company Profile
120.200.200
  • Anderson; Brent A. - Jericho VT
  • Anderson; Brent A. - Lincoln Park NJ
  • Anderson; Brent A. - Essex Junction VT
  • - Jericho VT US
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Interconnects Having Spacers For Improved Top Via Critical Dimension And Overlay Tolerance
App 20220157652 - Anderson; Brent A. ;   et al.
2022-05-19
Fully-aligned top-via structures with top-via trim
Grant 11,282,768 - Cheng , et al. March 22, 2
2022-03-22
Replacement metal gate process for vertical transport field-effect transistor with self-aligned shared contacts
Grant 11,271,106 - Bao , et al. March 8, 2
2022-03-08
Replacement metal gate process for vertical transport field-effect transistors with multiple threshold voltages
Grant 11,257,721 - Bao , et al. February 22, 2
2022-02-22
Vertical transport field effect transistor structure with self-aligned top junction through early top source/drain epitaxy
Grant 11,239,360 - Mochizuki , et al. February 1, 2
2022-02-01
Self aligned block masks for implantation control
Grant 11,177,132 - Wang , et al. November 16, 2
2021-11-16
Isolation region fabrication for replacement gate processing
Grant RE48,616 - Anderson , et al. June 29, 2
2021-06-29
3D vertical FET with top and bottom gate contacts
Grant 11,031,296 - Anderson , et al. June 8, 2
2021-06-08
Vertical field effect transistors
Grant 11,024,546 - Anderson , et al. June 1, 2
2021-06-01
Integrating a junction field effect transistor into a vertical field effect transistor
Grant 11,011,513 - Anderson , et al. May 18, 2
2021-05-18
Fully-aligned Top-via Structures With Top-via Trim
App 20210143085 - Cheng; Kenneth C. K. ;   et al.
2021-05-13
Top via process accounting for misalignment by increasing reliability
Grant 10,991,619 - Zhang , et al. April 27, 2
2021-04-27
Self-aligned Metal Gate With Poly Silicide For Vertical Transport Field-effect Transistors
App 20210118881 - Anderson; Brent A. ;   et al.
2021-04-22
Vertical transport fin field effect transistor with asymmetric channel profile
Grant 10,985,257 - Lee , et al. April 20, 2
2021-04-20
Integration of input/output device in vertical field-effect transistor technology
Grant 10,964,812 - Liu , et al. March 30, 2
2021-03-30
Vertical transistor contact for cross-coupling in a memory cell
Grant 10,957,794 - Anderson , et al. March 23, 2
2021-03-23
Self-aligned metal gate with poly silicide for vertical transport field-effect transistors
Grant 10,957,696 - Anderson , et al. March 23, 2
2021-03-23
Vertical field effect transistors
Grant 10,943,831 - Anderson , et al. March 9, 2
2021-03-09
Vertical transport devices with greater density through modified well shapes
Grant 10,943,911 - Anderson , et al. March 9, 2
2021-03-09
Vertical transistor contact for a memory cell with increased density
Grant 10,937,793 - Anderson , et al. March 2, 2
2021-03-02
Fabrication of a vertical field effect transistor device with a modified vertical fin geometry
Grant 10,903,361 - Anderson , et al. January 26, 2
2021-01-26
Self-aligned contact for vertical field effect transistor
Grant 10,896,972 - Anderson , et al. January 19, 2
2021-01-19
Vertical field effect transistors
Grant 10,896,857 - Anderson , et al. January 19, 2
2021-01-19
Self Aligned Block Masks For Implantation Control
App 20210005459 - Wang; Junli ;   et al.
2021-01-07
Self-aligned via forming to conductive line and related wiring structure
Grant 10,879,112 - Anderson , et al. December 29, 2
2020-12-29
Integration of input/output device in vertical field-effect transistor technology
Grant 10,840,373 - Liu , et al. November 17, 2
2020-11-17
Reduced static random access memory (SRAM) device foot print through controlled bottom source/drain placement
Grant 10,832,975 - Bao , et al. November 10, 2
2020-11-10
Top Via Process Accounting For Misalignment By Increasing Reliability
App 20200335393 - Zhang; Chen ;   et al.
2020-10-22
Vertical transistors having multiple gate thicknesses for optimizing performance and device density
Grant 10,811,508 - Anderson , et al. October 20, 2
2020-10-20
Vertical transistors having multiple gate thicknesses for optimizing performance and device density
Grant 10,811,507 - Anderson , et al. October 20, 2
2020-10-20
Self-aligned top spacers for vertical FETs with in situ solid state doping
Grant 10,777,469 - Bao , et al. Sept
2020-09-15
Self-aligned bottom source/drain epitaxial growth in vertical field effect transistors
Grant 10,777,659 - Lee , et al. Sept
2020-09-15
Cell placement in a circuit with shared inputs and outputs
Grant 10,755,017 - Anderson , et al. A
2020-08-25
Integration of electrostatic discharge protection into vertical fin technology
Grant 10,741,544 - Anderson , et al. A
2020-08-11
Vertical transport logic circuit cell with shared pitch
Grant 10,742,218 - Anderson , et al. A
2020-08-11
Vertical transport static random-access memory cells with transistors of active regions arranged in linear rows
Grant 10,734,372 - Anderson , et al.
2020-08-04
Vertical transistor fabrication and devices
Grant 10,727,316 - Anderson , et al.
2020-07-28
Laterally diffused metal oxide semiconductor device integrated with vertical field effect transistor
Grant 10,720,425 - Anderson , et al.
2020-07-21
FINFET having a gate structure in a trench feature in a bent fin
Grant 10,714,616 - Anderson , et al.
2020-07-14
Variable gate lengths for vertical transistors
Grant 10,714,396 - Anderson , et al.
2020-07-14
Replacement Metal Gate Process For Vertical Transport Field-effect Transistors With Multiple Threshold Voltages
App 20200211908 - Bao; Ruqiang ;   et al.
2020-07-02
Replacement Metal Gate Process For Vertical Transport Field-effect Transistor With Self-aligned Shared Contacts
App 20200212220 - Bao; Ruqiang ;   et al.
2020-07-02
Fabrication Of A Vertical Field Effect Transistor Device With A Modified Vertical Fin Geometry
App 20200203527 - Anderson; Brent A. ;   et al.
2020-06-25
Replacement metal gate process for vertical transport field-effect transistors with multiple threshold voltages
Grant 10,672,670 - Bao , et al.
2020-06-02
Replacement metal gate process for vertical transport field-effect transistor with self-aligned shared contacts
Grant 10,672,905 - Bao , et al.
2020-06-02
Vertical Transistor Contact For Cross-coupling In A Memory Cell
App 20200161472 - Anderson; Brent A. ;   et al.
2020-05-21
Self-aligned Bottom Source/drain Epitaxial Growth In Vertical Field Effect Transistors
App 20200161451 - LEE; Choonghyun ;   et al.
2020-05-21
Vertical Transport Field Effect Transistor Structure With Self-aligned Top Junction Through Early Top Source/drain Epitaxy
App 20200152791 - Mochizuki; Shogo ;   et al.
2020-05-14
Integration Of Electrostatic Discharge Protection Into Vertical Fin Technology
App 20200152619 - Anderson; Brent A. ;   et al.
2020-05-14
Bottom source/drain silicidation for vertical field-effect transistor (FET)
Grant 10,629,443 - Anderson , et al.
2020-04-21
Sloped finFET with methods of forming same
Grant 10,629,703 - Anderson , et al.
2020-04-21
Self-aligned Top Spacers For Vertical Fets With In Situ Solid State Doping
App 20200118890 - Bao; Ruqiang ;   et al.
2020-04-16
Self-aligned contact for vertical field effect transistor
Grant 10,622,458 - Anderson , et al.
2020-04-14
Vertical transistor fabrication and devices
Grant 10,622,459 - Anderson , et al.
2020-04-14
Fabrication of a vertical field effect transistor device with a modified vertical fin geometry
Grant 10,622,477 - Anderson , et al.
2020-04-14
Integration of input/output device in vertical field-effect transistor technology
Grant 10,615,276 - Liu , et al.
2020-04-07
VFET metal gate patterning for vertical transport field effect transistor
Grant 10,615,082 - Anderson , et al.
2020-04-07
Vertical Transistor Contact For A Memory Cell With Increased Density
App 20200105769 - Anderson; Brent A. ;   et al.
2020-04-02
Vertical transport field effect transistor structure with self-aligned top junction through early top source/drain epitaxy
Grant 10,593,797 - Mochizuki , et al.
2020-03-17
Self-aligned shallow trench isolation and doping for vertical fin transistors
Grant 10,593,803 - Anderson , et al.
2020-03-17
Vertical Field Effect Transistors
App 20200075425 - ANDERSON; Brent A. ;   et al.
2020-03-05
Vertical Field Effect Transistors
App 20200075424 - ANDERSON; Brent A. ;   et al.
2020-03-05
Replacement Metal Gate Process For Vertical Transport Field-effect Transistors With Multiple Threshold Voltages
App 20200066603 - Bao; Ruqiang ;   et al.
2020-02-27
Integration Of Input/output Device In Vertical Field-effect Transistor Technology
App 20200066906 - Liu; Xuefeng ;   et al.
2020-02-27
Replacement Metal Gate Process For Vertical Transport Field-effect Transistor With Self-aligned Shared Contacts
App 20200066903 - Bao; Ruqiang ;   et al.
2020-02-27
Integrating A Junction Field Effect Transistor Into A Vertical Field Effect Transistor
App 20200066711 - Anderson; Brent A. ;   et al.
2020-02-27
Vertical transistor device
Grant 10,573,727 - Anderson , et al. Feb
2020-02-25
Integrating a planar field effect transistor (FET) with a vertical FET
Grant 10,573,562 - Anderson , et al. Feb
2020-02-25
Vertical transistor contact for cross-coupling in a memory cell
Grant 10,566,453 - Anderson , et al. Feb
2020-02-18
Self-aligned Contact For Vertical Field Effect Transistor
App 20200052096 - Anderson; Brent A. ;   et al.
2020-02-13
Vertical Transport Field-effect Transistors With Strained Channels
App 20200052079 - Li; Juntao ;   et al.
2020-02-13
Vertical transistor contact for a memory cell with increased density
Grant 10,559,572 - Anderson , et al. Feb
2020-02-11
Vertical Transport Logic Circuit Cell With Shared Pitch
App 20200028513 - Anderson; Brent A. ;   et al.
2020-01-23
3d Vertical Fet With Top And Bottom Gate Contacts
App 20200020591 - Anderson; Brent A. ;   et al.
2020-01-16
Cell Placement In A Circuit With Shared Inputs And Outputs
App 20200019665 - ANDERSON; BRENT A. ;   et al.
2020-01-16
Integration Of Input/output Device In Vertical Field-effect Transistor Technology
App 20200013891 - Liu; Xuefeng ;   et al.
2020-01-09
3D vertical FET with top and bottom gate contacts
Grant 10,529,625 - Anderson , et al. J
2020-01-07
Vertical field effect transistors
Grant 10,529,627 - Anderson , et al. J
2020-01-07
Vertical Transistor Contact For A Memory Cell With Increased Density
App 20200006353 - Anderson; Brent A. ;   et al.
2020-01-02
Vertical Transistor Contact For Cross-coupling In A Memory Cell
App 20200006552 - Anderson; Brent A. ;   et al.
2020-01-02
Vertical Transport Field Effect Transistor Structure With Self-aligned Top Junction Through Early Top Source/drain Epitaxy
App 20190393341 - Mochizuki; Shogo ;   et al.
2019-12-26
Reduced Static Random Access Memory (sram) Device Foot Print Through Controlled Bottom Source/drain Placement
App 20190378767 - Bao; Ruqiang ;   et al.
2019-12-12
Integrating a junction field effect transistor into a vertical field effect transistor
Grant 10,504,889 - Anderson , et al. Dec
2019-12-10
Methods of forming merged source/drain regions on integrated circuit products
Grant 10,475,904 - Niimi , et al. Nov
2019-11-12
Integrating A Planar Field Effect Transistor (fet) With A Vertical Fet
App 20190326179 - Anderson; Brent A. ;   et al.
2019-10-24
Variable Gate Lengths For Vertical Transistors
App 20190318965 - Anderson; Brent A. ;   et al.
2019-10-17
Vertical Transport Devices With Greater Density Through Modified Well Shapes
App 20190319032 - Anderson; Brent A. ;   et al.
2019-10-17
BEOL self-aligned interconnect structure
Grant 10,431,494 - Yang , et al. O
2019-10-01
Integrating a planar field effect transistor (FET) with a vertical FET
Grant 10,424,516 - Anderson , et al. Sept
2019-09-24
Vertical Transport Static Random-access Memory Cells With Transistors Of Active Regions Arranged In Linear Rows
App 20190287957 - Anderson; Brent A. ;   et al.
2019-09-19
Silicidation of bottom source/drain sheet using pinch-off sacrificial spacer process
Grant 10,418,462 - Anderson , et al. Sept
2019-09-17
Vertical Field Effect Transistors
App 20190267291 - ANDERSON; Brent A. ;   et al.
2019-08-29
Variable gate lengths for vertical transistors
Grant 10,395,992 - Anderson , et al. A
2019-08-27
Vertical transistor fabrication and devices
Grant 10,388,757 - Anderson , et al. A
2019-08-20
Beol Self-aligned Interconnect Structure
App 20190237366 - Yang; Chih-Chao ;   et al.
2019-08-01
Vertical Transport Fin Field Effect Transistor With Asymmetric Channel Profile
App 20190229200 - Lee; Choonghyun ;   et al.
2019-07-25
3D vertical FET with top and bottom gate contacts
Grant 10,361,128 - Anderson , et al.
2019-07-23
Fabrication Of A Vertical Field Effect Transistor Device With A Modified Vertical Fin Geometry
App 20190221668 - Anderson; Brent A. ;   et al.
2019-07-18
Methods Of Forming Merged Source/drain Regions On Integrated Circuit Products
App 20190214484 - Niimi; Hiroaki ;   et al.
2019-07-11
Vertical FET structure
Grant 10,347,759 - Anderson , et al. July 9, 2
2019-07-09
Laterally diffused metal oxide semiconductor device integrated with vertical field effect transistor
Grant 10,347,624 - Anderson , et al. July 9, 2
2019-07-09
Integration Of Input/output Device In Vertical Field-effect Transistor Technology
App 20190198667 - Liu; Xuefeng ;   et al.
2019-06-27
Vertical field effect transistors
Grant 10,332,801 - Anderson , et al.
2019-06-25
Vfet Metal Gate Patterning For Vertical Transport Field Effect Transistor
App 20190181051 - Anderson; Brent A. ;   et al.
2019-06-13
Skip via for metal interconnects
Grant 10,319,629 - Yang , et al.
2019-06-11
Forming eDRAM unit cell with VFET and via capacitance
Grant 10,319,852 - Anderson , et al.
2019-06-11
Self-aligned shallow trench isolation and doping for vertical fin transistors
Grant 10,312,371 - Anderson , et al.
2019-06-04
Sloped finFET with methods of forming same
Grant 10,312,347 - Anderson , et al.
2019-06-04
Vertical transistor with variable gate length
Grant 10,312,346 - Anderson , et al.
2019-06-04
Fabrication of a vertical field effect transistor device with a modified vertical fin geometry
Grant 10,304,955 - Anderson , et al.
2019-05-28
Vertical transport fin field effect transistor with asymmetric channel profile
Grant 10,297,668 - Lee , et al.
2019-05-21
Electrostatic Discharge Protection Using Vertical Fin Cmos Technology
App 20190131292 - Anderson; Brent A. ;   et al.
2019-05-02
Electrostatic discharge protection using vertical fin CMOS technology
Grant 10,276,558 - Anderson , et al.
2019-04-30
Semiconductor structures with field effect transistor(s) having low-resistance source/drain contact(s)
Grant 10,269,707 - Anderson , et al.
2019-04-23
Laterally diffused metal oxide semiconductor device integrated with vertical field effect transistor
Grant 10,269,657 - Anderson , et al.
2019-04-23
Vertical transistors and methods of forming same
Grant 10,256,235 - Anderson , et al.
2019-04-09
Vertical field effect transistors
Grant 10,256,156 - Anderson , et al.
2019-04-09
Gate cut on a vertical field effect transistor with a defined-width inorganic mask
Grant 10,249,753 - Anderson , et al.
2019-04-02
Vertical channel field-effect transistor (FET) process compatible long channel transistors
Grant 10,243,073 - Anderson , et al.
2019-03-26
Vertical Transistors Having Multiple Gate Thicknesses
App 20190088755 - Anderson; Brent A. ;   et al.
2019-03-21
Vertical Transistors Having Multiple Gate Thicknesses
App 20190088754 - Anderson; Brent A. ;   et al.
2019-03-21
VFET metal gate patterning for vertical transport field effect transistor
Grant 10,236,219 - Anderson , et al.
2019-03-19
Merged gate for vertical transistors
Grant 10,224,249 - Anderson , et al.
2019-03-05
Silicidation of bottom source/drain sheet using pinch-off sacrificial spacer process
Grant 10,211,316 - Anderson , et al. Feb
2019-02-19
Fabrication Of A Vertical Field Effect Transistor Device With A Modified Vertical Fin Geometry
App 20190027599 - Anderson; Brent A. ;   et al.
2019-01-24
Bipolar transistor compatible with vertical FET fabrication
Grant 10,170,463 - Anderson , et al. J
2019-01-01
Vertical Field Effect Transistors
App 20180374758 - ANDERSON; Brent A. ;   et al.
2018-12-27
Laterally Diffused Metal Oxide Semiconductor Device Integrated With Vertical Field Effect Transistor
App 20180374841 - Anderson; Brent A. ;   et al.
2018-12-27
Edible products having a high cocoa polyphenol content and improved flavor and the milled cocoa extracts used therein
Grant 10,155,017 - Anderson , et al. Dec
2018-12-18
Self-aligned Shallow Trench Isolation And Doping For Vertical Fin Transistors
App 20180358459 - Anderson; Brent A. ;   et al.
2018-12-13
Vertical transistor device
Grant 10,141,426 - Anderson , et al. Nov
2018-11-27
Self-aligned Contact For Vertical Field Effect Transistor
App 20180337256 - Anderson; Brent A. ;   et al.
2018-11-22
Fabrication of a vertical field effect transistor device with a modified vertical fin geometry
Grant 10,134,893 - Anderson , et al. November 20, 2
2018-11-20
Self-aligned Metal Gate With Poly Silicide For Vertical Transport Field-effect Transistors
App 20180331101 - Anderson; Brent A. ;   et al.
2018-11-15
Laterally diffused metal oxide semiconductor device integrated with vertical field effect transistor
Grant 10,128,158 - Anderson , et al. November 13, 2
2018-11-13
Laterally diffused metal oxide semiconductor device integrated with vertical field effect transistor
Grant 10,128,157 - Anderson , et al. November 13, 2
2018-11-13
3d Vertical Fet With Top And Bottom Gate Contacts
App 20180308762 - Anderson; Brent A. ;   et al.
2018-10-25
Self-aligned shallow trench isolation and doping for vertical fin transistors
Grant 10,090,302 - Anderson , et al. October 2, 2
2018-10-02
Vertical Field Effect Transistors
App 20180277443 - ANDERSON; Brent A. ;   et al.
2018-09-27
Variable Gate Lengths For Vertical Transistors
App 20180277444 - Anderson; Brent A. ;   et al.
2018-09-27
3D vertical FET with top and bottom gate contacts
Grant 10,074,570 - Anderson , et al. September 11, 2
2018-09-11
Vertical FETs with high density capacitor
Grant 10,069,007 - Anderson September 4, 2
2018-09-04
Vertical Fet Structure
App 20180248037 - ANDERSON; Brent A. ;   et al.
2018-08-30
Fabrication Of A Vertical Field Effect Transistor Device With A Modified Vertical Fin Geometry
App 20180240907 - Anderson; Brent A. ;   et al.
2018-08-23
Reduced tip-to-tip and via pitch at line end
Grant 10,049,920 - Anderson , et al. August 14, 2
2018-08-14
Vertical Transistor Fabrication And Devices
App 20180226494 - Anderson; Brent A. ;   et al.
2018-08-09
Vertical field effect transistors
Grant 10,043,715 - Anderson , et al. August 7, 2
2018-08-07
Integrating A Planar Field Effect Transistor (fet) With A Vertical Fet
App 20180218948 - Anderson; Brent A. ;   et al.
2018-08-02
FORMING eDRAM UNIT CELL WITH VFET AND VIA CAPACITANCE
App 20180211963 - Anderson; Brent A. ;   et al.
2018-07-26
Self-aligned Via Forming To Conductive Line And Related Wiring Structure
App 20180211871 - Anderson; Brent A. ;   et al.
2018-07-26
Variable gate lengths for vertical transistors
Grant 10,026,653 - Anderson , et al. July 17, 2
2018-07-17
3d Vertical Fet With Top And Bottom Gate Contacts
App 20180197788 - Anderson; Brent A. ;   et al.
2018-07-12
3d Vertical Fet With Top And Bottom Gate Contacts
App 20180197787 - Anderson; Brent A. ;   et al.
2018-07-12
Self-aligned via forming to conductive line and related wiring structure
Grant 10,020,224 - Anderson , et al. July 10, 2
2018-07-10
Reduced tip-to-tip and via pitch at line end
Grant 10,020,223 - Anderson , et al. July 10, 2
2018-07-10
Vertical Transistors And Methods Of Forming Same
App 20180175025 - Anderson; Brent A. ;   et al.
2018-06-21
Vertical FET structure
Grant 10,002,962 - Anderson , et al. June 19, 2
2018-06-19
Sloped Finfet With Methods Of Forming Same
App 20180158924 - Anderson; Brent A. ;   et al.
2018-06-07
Integrating a planar field effect transistor (FET) with a vertical FET
Grant 9,991,170 - Anderson , et al. June 5, 2
2018-06-05
Forming eDRAM unit cell with VFET and via capacitance
Grant 9,991,267 - Anderson , et al. June 5, 2
2018-06-05
Vertical transistor fabrication and devices
Grant 9,985,115 - Anderson , et al. May 29, 2
2018-05-29
Sloped finFET with methods of forming same
Grant 9,985,112 - Anderson , et al. May 29, 2
2018-05-29
Sloped Finfet With Methods Of Forming Same
App 20180138286 - Anderson; Brent A. ;   et al.
2018-05-17
Vertical Transistors With Merged Active Area Regions
App 20180122792 - Anderson; Brent A. ;   et al.
2018-05-03
Vertical Transistors With Merged Active Area Regions
App 20180121593 - Anderson; Brent A. ;   et al.
2018-05-03
Laterally diffused metal oxide semiconductor device integrated with vertical field effect transistor
Grant 9,960,155 - Anderson , et al. May 1, 2
2018-05-01
Ultra dense vertical transport FET circuits
Grant 9,954,529 - Anderson , et al. April 24, 2
2018-04-24
Vertical Transistor With Variable Gate Length
App 20180108754 - Anderson; Brent A. ;   et al.
2018-04-19
Vertical transistor fabrication and devices
Grant 9,941,411 - Anderson , et al. April 10, 2
2018-04-10
Laterally Diffused Metal Oxide Semiconductor Device Integrated With Vertical Field Effect Transistor
App 20180096901 - Anderson; Brent A. ;   et al.
2018-04-05
Gate Cut On A Vertical Field Effect Transistor With A Defined-width Inorganic Mask
App 20180097107 - Anderson; Brent A. ;   et al.
2018-04-05
Multi-finger devices in mutliple-gate-contacted-pitch, integrated structures
Grant 9,935,106 - Nowak , et al. April 3, 2
2018-04-03
Bipolar Transistor Compatible With Vertical Fet Fabrication
App 20180090485 - Anderson; Brent A. ;   et al.
2018-03-29
Bipolar Transistor Compatible With Vertical Fet Fabrication
App 20180090380 - Anderson; Brent A. ;   et al.
2018-03-29
Vertical transistors and methods of forming same
Grant 9,929,152 - Anderson , et al. March 27, 2
2018-03-27
Laterally diffused metal oxide semiconductor device integrated with vertical field effect transistor
Grant 9,929,144 - Anderson , et al. March 27, 2
2018-03-27
Bipolar transistor compatible with vertical FET fabrication
Grant 9,929,145 - Anderson , et al. March 27, 2
2018-03-27
Laterally Diffused Metal Oxide Semiconductor Device Integrated With Vertical Field Effect Transistor
App 20180082998 - Anderson; Brent A. ;   et al.
2018-03-22
Vertical-transport field-effect transistors with a damascene gate strap
Grant 9,911,738 - Niimi , et al. March 6, 2
2018-03-06
Vertical Channel Field-effect Transistor (fet) Process Compatible Long Channel Transistors
App 20180053843 - Anderson; Brent A. ;   et al.
2018-02-22
Self-aligned Shallow Trench Isolation And Doping For Vertical Fin Transistors
App 20180040727 - Anderson; Brent A. ;   et al.
2018-02-08
Interconnects for vertical-transport field-effect transistors
Grant 9,887,192 - Nowak , et al. February 6, 2
2018-02-06
Integrating a planar field effect transistor (FET) with a vertical FET
Grant 9,887,193 - Anderson , et al. February 6, 2
2018-02-06
Laterally Diffused Metal Oxide Semiconductor Device Integrated With Vertical Field Effect Transistor
App 20180033786 - Anderson; Brent A. ;   et al.
2018-02-01
Silicidation Of Bottom Source/drain Sheet Using Pinch-off Sacrificial Spacer Process
App 20180033868 - ANDERSON; Brent A. ;   et al.
2018-02-01
Gate cut on a vertical field effect transistor with a defined-width inorganic mask
Grant 9,882,048 - Anderson , et al. January 30, 2
2018-01-30
Laterally diffused metal oxide semiconductor device integrated with vertical field effect transistor
Grant 9,875,945 - Anderson , et al. January 23, 2
2018-01-23
Silicidation Of Bottom Source/drain Sheet Using Pinch-off Sacrificial Spacer Process
App 20180019323 - ANDERSON; Brent A. ;   et al.
2018-01-18
Dual Stress Device And Method
App 20180012988 - Anderson; Brent A. ;   et al.
2018-01-11
Gate Cut On A Vertical Field Effect Transistor With A Defined-width Inorganic Mask
App 20180006150 - Anderson; Brent A. ;   et al.
2018-01-04
Interconnects For Vertical-transport Field-effect Transistors
App 20180006023 - Nowak; Edward J. ;   et al.
2018-01-04
Merged Gate For Vertical Transistors
App 20180005902 - Anderson; Brent A. ;   et al.
2018-01-04
Vertical Transistors And Methods Of Forming Same
App 20180006024 - Anderson; Brent A. ;   et al.
2018-01-04
Bipolar transistor compatible with vertical FET fabrication
Grant 9,859,172 - Anderson , et al. January 2, 2
2018-01-02
High density vertical field effect transistor multiplexer
Grant 9,859,898 - Anderson , et al. January 2, 2
2018-01-02
Silicidation Of Bottom Source/drain Sheet Using Pinch-off Sacrificial Spacer Process
App 20170373170 - ANDERSON; Brent A. ;   et al.
2017-12-28
Silicidation of bottom source/drain sheet using pinch-off sacrificial spacer process
Grant 9,853,127 - Anderson , et al. December 26, 2
2017-12-26
Laterally Diffused Metal Oxide Semiconductor Device Integrated With Vertical Field Effect Transistor
App 20170365529 - Anderson; Brent A. ;   et al.
2017-12-21
Performance-enhanced vertical device and method of forming thereof
Grant 9,847,416 - Nowak , et al. December 19, 2
2017-12-19
Self-aligned Shallow Trench Isolation And Doping For Vertical Fin Transistors
App 20170358672 - Anderson; Brent A. ;   et al.
2017-12-14
Self-aligned Shallow Trench Isolation And Doping For Vertical Fin Transistors
App 20170358576 - Anderson; Brent A. ;   et al.
2017-12-14
Vertical Transistor Fabrication And Devices
App 20170358689 - Anderson; Brent A. ;   et al.
2017-12-14
Self-aligned shallow trench isolation and doping for vertical fin transistors
Grant 9,842,931 - Anderson , et al. December 12, 2
2017-12-12
Bottom Source/drain Silicidation For Vertical Field-effect Transistor (fet)
App 20170323794 - Anderson; Brent A. ;   et al.
2017-11-09
Bottom Source/drain Silicidation For Vertical Field-effect Transistor (fet)
App 20170316945 - ANDERSON; BRENT A. ;   et al.
2017-11-02
Vertical Fet Structure
App 20170317210 - Anderson; Brent A. ;   et al.
2017-11-02
Bottom source/drain silicidation for vertical field-effect transistor (FET)
Grant 9,805,935 - Anderson , et al. October 31, 2
2017-10-31
Laterally Diffused Metal Oxide Semiconductor Device Integrated With Vertical Field Effect Transistor
App 20170309616 - Anderson; Brent A. ;   et al.
2017-10-26
Laterally Diffused Metal Oxide Semiconductor Device Integrated With Vertical Field Effect Transistor
App 20170301666 - Anderson; Brent A. ;   et al.
2017-10-19
Laterally Diffused Metal Oxide Semiconductor Device Integrated With Vertical Field Effect Transistor
App 20170301590 - Anderson; Brent A. ;   et al.
2017-10-19
Vertical transistor fabrication and devices
Grant 9,793,374 - Anderson , et al. October 17, 2
2017-10-17
Vertical Fets With High Density Capacitor
App 20170294536 - Anderson; Brent A.
2017-10-12
Semiconductor Structures With Field Effect Transistor(s) Having Low-resistance Source/drain Contact(s)
App 20170294385 - Anderson; Brent A. ;   et al.
2017-10-12
Semiconductor structures with field effect transistor(s) having low-resistance source/drain contact(s)
Grant 9,786,751 - Anderson , et al. October 10, 2
2017-10-10
FINFET having notched fins and method of forming same
Grant 9,786,765 - Nowak , et al. October 10, 2
2017-10-10
Integration of bipolar transistor into complimentary metal-oxide-semiconductor process
Grant 9,786,656 - Anderson , et al. October 10, 2
2017-10-10
Methods of forming field effect transistors using a gate cut process following final gate formation
Grant 9,786,507 - Anderson , et al. October 10, 2
2017-10-10
Multi-finger Devices In Mutliple-gate-contacted-pitch, Integrated Structures
App 20170287911 - Nowak; Edward J. ;   et al.
2017-10-05
Vertical Transistor Fabrication And Devices
App 20170271481 - Anderson; Brent A. ;   et al.
2017-09-21
Method of fabricating a FINFET having a gate structure disposed at least partially at a bend region of the semiconductor fin
Grant 9,768,304 - Anderson , et al. September 19, 2
2017-09-19
Vertical Transistor Fabrication And Devices
App 20170263781 - Anderson; Brent A. ;   et al.
2017-09-14
Vertical transistors with merged active area regions
Grant 9,761,712 - Anderson , et al. September 12, 2
2017-09-12
Merged gate for vertical transistors
Grant 9,755,071 - Anderson , et al. September 5, 2
2017-09-05
Hybrid circuit including a tunnel field-effect transistor
Grant 9,748,271 - Anderson , et al. August 29, 2
2017-08-29
Ultra Dense Vertical Transport Fet Circuits
App 20170244412 - ANDERSON; BRENT A. ;   et al.
2017-08-24
Finfet Having Notched Fins And Method Of Forming Same
App 20170236917 - Nowak; Edward J. ;   et al.
2017-08-17
Vertical Transistor Device
App 20170229558 - Anderson; Brent A. ;   et al.
2017-08-10
Vertical Transistor Device
App 20170229556 - Anderson; Brent A. ;   et al.
2017-08-10
Bottom Source/drain Silicidation For Vertical Field-effect Transistor (fet)
App 20170194155 - Anderson; Brent A. ;   et al.
2017-07-06
Self-aligned Via Forming To Conductive Line And Related Wiring Structure
App 20170186682 - Anderson; Brent A. ;   et al.
2017-06-29
Variable Gate Lengths For Vertical Transistors
App 20170178970 - Anderson; Brent A. ;   et al.
2017-06-22
Vertical Transistor Fabrication And Devices
App 20170179259 - Anderson; Brent A. ;   et al.
2017-06-22
Vertical Field Effect Transistors
App 20170179302 - ANDERSON; Brent A. ;   et al.
2017-06-22
Integrating A Planar Field Effect Transistor (fet) With A Vertical Fet
App 20170178965 - Anderson; Brent A. ;   et al.
2017-06-22
Vertical Transistor Fabrication And Devices
App 20170179303 - Anderson; Brent A. ;   et al.
2017-06-22
Integrating A Planar Field Effect Transistor (fet) With A Vertical Fet
App 20170179116 - Anderson; Brent A. ;   et al.
2017-06-22
Isolation region fabrication for replacement gate processing
Grant RE46,448 - Anderson , et al. June 20, 2
2017-06-20
Hybrid Circuit Including A Tunnel Field-effect Transistor
App 20170170196 - Anderson; Brent A. ;   et al.
2017-06-15
Ultra dense vertical transport FET circuits
Grant 9,680,473 - Anderson , et al. June 13, 2
2017-06-13
Gate cutting for a vertical transistor device
Grant 9,673,199 - Anderson , et al. June 6, 2
2017-06-06
Method for quadruple frequency FinFETs with single-fin removal
Grant 9,673,055 - Anderson , et al. June 6, 2
2017-06-06
Vertical FETs with high density capacitor
Grant 9,666,578 - Anderson May 30, 2
2017-05-30
Integrated circuit structure with methods of electrically connecting same
Grant 9,659,941 - Anderson , et al. May 23, 2
2017-05-23
Vertical field effect transistors
Grant 9,653,360 - Anderson , et al. May 16, 2
2017-05-16
Damascene wires with top via structures
Grant 9,613,861 - Anderson , et al. April 4, 2
2017-04-04
Hybrid circuit including a tunnel field-effect transistor
Grant 9,613,955 - Anderson , et al. April 4, 2
2017-04-04
FinFET source-drain merged by silicide-based material
Grant 9,595,524 - Anderson , et al. March 14, 2
2017-03-14
Solid state diffusion doping for bulk finFET devices
Grant 9,583,489 - Anderson , et al. February 28, 2
2017-02-28
Vertical field effect transistors
Grant 9,570,357 - Anderson , et al. February 14, 2
2017-02-14
Damascene Wires With Top Via Structures
App 20170040216 - ANDERSON; Brent A. ;   et al.
2017-02-09
Vertical Field Effect Transistors
App 20170040222 - ANDERSON; Brent A. ;   et al.
2017-02-09
Doped metal-insulator-transition latch circuitry
Grant 9,552,852 - Anderson , et al. January 24, 2
2017-01-24
FinFET source-drain merged by silicide-based material
Grant 9,543,167 - Anderson , et al. January 10, 2
2017-01-10
Integrated Circuit Structure With Methods Of Electrically Connecting Same
App 20170005101 - Anderson; Brent A. ;   et al.
2017-01-05
Field-isolated bulk FinFET
Grant 9,536,882 - Anderson , et al. January 3, 2
2017-01-03
Semiconductor Structures With Field Effect Transistor(s) Having Low-resistance Source/drain Contact(s)
App 20160380065 - Anderson; Brent A. ;   et al.
2016-12-29
Field effect transistor having delay element with back gate
Grant 9,520,391 - Anderson , et al. December 13, 2
2016-12-13
Integrating a planar field effect transistor (FET) with a vertical FET
Grant 9,502,407 - Anderson , et al. November 22, 2
2016-11-22
Semiconductor structures with field effect transistor(s) having low-resistance source/drain contact(s)
Grant 9,496,394 - Anderson , et al. November 15, 2
2016-11-15
Blanket short channel roll-up implant with non-angled long channel compensating implant through patterned opening
Grant 9,478,615 - Adkisson , et al. October 25, 2
2016-10-25
Vertical fin eDRAM
Grant 9,443,857 - Anderson , et al. September 13, 2
2016-09-13
Barrier trench structure and methods of manufacture
Grant 9,437,595 - Anderson , et al. September 6, 2
2016-09-06
Structure, method and system for complementary strain fill for integrated circuit chips
Grant 9,436,789 - Anderson , et al. September 6, 2
2016-09-06
Vertical transistor fabrication and devices
Grant 9,431,305 - Anderson , et al. August 30, 2
2016-08-30
Methods Of Forming Field Effect Transistors Using A Gate Cut Process Following Final Gate Formation
App 20160233094 - Anderson; Brent A. ;   et al.
2016-08-11
Sloped Finfet With Methods Of Forming Same
App 20160233246 - Anderson; Brent A. ;   et al.
2016-08-11
Method For Quadruple Frequency Finfets With Single-fin Removal
App 20160225634 - Anderson; Brent A. ;   et al.
2016-08-04
Field-isolated Bulk Finfet
App 20160181247 - Anderson; Brent A. ;   et al.
2016-06-23
Methods of forming field effect transistors using a gate cut process following final gate formation
Grant 9,373,641 - Anderson , et al. June 21, 2
2016-06-21
Semiconductor devices having tensile and/or compressive stress and methods of manufacturing
Grant 9,368,410 - Anderson , et al. June 14, 2
2016-06-14
VERTICAL FIN eDRAM
App 20160163712 - Anderson; Brent A. ;   et al.
2016-06-09
Vertical Field Effect Transistors
App 20160163602 - ANDERSON; Brent A. ;   et al.
2016-06-09
Vertical Field Effect Transistors
App 20160163811 - ANDERSON; Brent A. ;   et al.
2016-06-09
Method, structure and design structure for customizing history effects of SOI circuits
Grant 9,349,852 - Anderson , et al. May 24, 2
2016-05-24
Doped Metal-insulator-transition Latch Circuitry
App 20160133303 - Anderson; Brent A. ;   et al.
2016-05-12
Semiconductor Structures With Field Effect Transistor(s) Having Low-resistance Source/drain Contact(s)
App 20160118496 - Anderson; Brent A. ;   et al.
2016-04-28
Vertical field effect transistors
Grant 9,299,835 - Anderson , et al. March 29, 2
2016-03-29
Method, structure and design structure for customizing history effects of SOI circuits
Grant 9,286,425 - Anderson , et al. March 15, 2
2016-03-15
Nano-filter and method of forming same, and method of filtration
Grant 9,272,233 - Anderson , et al. March 1, 2
2016-03-01
Methods Of Forming Field Effect Transistors Using A Gate Cut Process Following Final Gate Formation
App 20160056181 - Anderson; Brent A. ;   et al.
2016-02-25
Replacement gate structures and methods of manufacturing
Grant 9,263,442 - Anderson , et al. February 16, 2
2016-02-16
Merged tapered finFET
Grant 9,257,427 - Anderson , et al. February 9, 2
2016-02-09
Finfet Source-drain Merged By Silicide-based Material
App 20160020208 - Anderson; Brent A. ;   et al.
2016-01-21
Finfet Source-drain Merged By Silicide-based Material
App 20160020209 - Anderson; Brent A. ;   et al.
2016-01-21
Bulk finFET well contacts with fin pattern uniformity
Grant 9,240,352 - Anderson , et al. January 19, 2
2016-01-19
Semiconductor structure and methods of manufacture
Grant 9,231,085 - Anderson , et al. January 5, 2
2016-01-05
Semiconductor structures with pair(s) of vertical field effect transistors, each pair having a shared source/drain region and methods of forming the structures
Grant 9,224,837 - Anderson , et al. December 29, 2
2015-12-29
Edible Products Having A High Cocoa Polyphenol Content And Improved Flavor And The Milled Cocoa Extracts Used Therein
App 20150352163 - ANDERSON; Brent A. ;   et al.
2015-12-10
Low capacitance finFET gate structure
Grant 9,153,669 - Anderson , et al. October 6, 2
2015-10-06
Semiconductor Structures With Pair(s) Of Vertical Field Effect Transistors, Each Pair Having A Shared Source/drain Region And Methods Of Forming The Structures
App 20150243765 - Anderson; Brent A. ;   et al.
2015-08-27
Edible products having a high cocoa polyphenol content and improved flavor and the milled cocoa extracts used therein
Grant 9,114,114 - Anderson , et al. August 25, 2
2015-08-25
Semiconductor Structures With Pair(s) Of Vertical Field Effect Transistors, Each Pair Having A Shared Source/drain Region And Methods Of Forming The Structures
App 20150221767 - Anderson; Brent A. ;   et al.
2015-08-06
Barrier Trench Structure And Methods Of Manufacture
App 20150221646 - ANDERSON; Brent A. ;   et al.
2015-08-06
Low Capacitance Finfet Gate Structure
App 20150214325 - ANDERSON; Brent A. ;   et al.
2015-07-30
Semiconductor structures with pair(s) of vertical field effect transistors, each pair having a shared source/drain region and methods of forming the structures
Grant 9,087,897 - Anderson , et al. July 21, 2
2015-07-21
Barrier trench structure and methods of manufacture
Grant 9,064,974 - Anderson , et al. June 23, 2
2015-06-23
Gate-all-around field effect transistor structures and methods
Grant 9,064,943 - Anderson , et al. June 23, 2
2015-06-23
Source/drain-to-source/drain recessed strap and methods of manufacture of same
Grant 9,059,017 - Anderson , et al. June 16, 2
2015-06-16
Embedded dynamic random access memory device and method
Grant 9,059,319 - Anderson , et al. June 16, 2
2015-06-16
Methods of fabricating trench generated device structures
Grant 9,059,080 - Anderson , et al. June 16, 2
2015-06-16
Middle of-line borderless contact structure and method of forming
Grant 9,048,217 - Anderson , et al. June 2, 2
2015-06-02
Devices with gate-to-gate isolation structures and methods of manufacture
Grant 9,040,383 - Anderson , et al. May 26, 2
2015-05-26
Devices with gate-to-gate isolation structures and methods of manufacture
Grant 9,041,107 - Anderson , et al. May 26, 2
2015-05-26
Replacement Gate Structures And Methods Of Manufacturing
App 20150137267 - ANDERSON; Brent A. ;   et al.
2015-05-21
Body contacted hybrid surface semiconductor-on-insulator devices
Grant 9,023,694 - Anderson , et al. May 5, 2
2015-05-05
Transistors having stressed channel regions and methods of forming transistors having stressed channel regions
Grant 8,987,789 - Anderson , et al. March 24, 2
2015-03-24
Body contacted hybrid surface semiconductor-on-insulator devices
Grant 8,962,398 - Anderson , et al. February 24, 2
2015-02-24
Method, structure and design structure for customizing history effects of SOI circuits
Grant 8,963,211 - Anderson , et al. February 24, 2
2015-02-24
Simultaneous formation of FinFET and MUGFET
Grant 8,963,254 - Anderson , et al. February 24, 2
2015-02-24
Formation of multi-height MUGFET
Grant 8,957,479 - Anderson , et al. February 17, 2
2015-02-17
Blanket Short Channel Roll-up Implant With Non-angled Long Channel Compensating Implant Through Patterned Opening
App 20150041904 - Adkisson; James W. ;   et al.
2015-02-12
Structure, Method And System For Complementary Strain Fill For Integrated Circuit Chips
App 20150040084 - Anderson; Brent A. ;   et al.
2015-02-05
Method, Structure And Design Structure For Customizing History Effects Of Soi Circuits
App 20150035059 - ANDERSON; Brent A. ;   et al.
2015-02-05
Middle-of-line Borderless Contact Structure And Method Of Forming
App 20150035026 - Anderson; Brent A. ;   et al.
2015-02-05
Replacement gate structures and methods of manufacturing
Grant 8,946,049 - Anderson , et al. February 3, 2
2015-02-03
Replacement-gate FinFET structure and process
Grant 8,946,027 - Anderson , et al. February 3, 2
2015-02-03
Field effect transistors (FETs) and methods of manufacture
Grant 8,946,801 - Anderson , et al. February 3, 2
2015-02-03
Integrated circuit structure incorporating one or more asymmetric field effect transistors as power gates for an electronic circuit with stacked symmetric field effect transistors
Grant 8,941,180 - Anderson , et al. January 27, 2
2015-01-27
Semiconductor structures and methods of manufacture
Grant 8,941,190 - Anderson , et al. January 27, 2
2015-01-27
Merged Tapered Finfet
App 20150014774 - Anderson; Brent A. ;   et al.
2015-01-15
Structure, method and system for complementary strain fill for integrated circuit chips
Grant 8,933,490 - Anderson , et al. January 13, 2
2015-01-13
Process environment variation evaluation
Grant 8,932,884 - Anderson , et al. January 13, 2
2015-01-13
Localized implant into active region for enhanced stress
Grant 8,927,399 - Anderson , et al. January 6, 2
2015-01-06
Semiconductor device including FINFET structures with varied epitaxial regions, related method and design structure
Grant 8,916,932 - Anderson , et al. December 23, 2
2014-12-23
Middle of-line borderless contact structure and method of forming
Grant 8,912,059 - Anderson , et al. December 16, 2
2014-12-16
Blanket short channel roll-up implant with non-angled long channel compensating implant through patterned opening
Grant 8,900,954 - Adkisson , et al. December 2, 2
2014-12-02
Semiconductor Device Including Finfet Structures With Varied Epitaxial Regions, Related Method And Design Structure
App 20140332888 - Anderson; Brent A. ;   et al.
2014-11-13
PARTIALLY DEPLETED (PD) SEMICONDUCTOR-ON-INSULATOR (SOI) FIELD EFFECT TRANSISTOR (FET) STRUCTURE WITH A GATE-TO-BODY TUNNEL CURRENT REGION FOR THRESHOLD VOLTAGE (Vt) LOWERING AND METHOD OF FORMING THE STRUCTURE
App 20140117450 - Anderson; Brent A. ;   et al.
2014-05-01
Bulk Finfet Well Contacts With Fin Pattern Uniformity
App 20140110767 - ANDERSON; Brent A. ;   et al.
2014-04-24
Middle-of-line Borderless Contact Structure And Method Of Forming
App 20140077276 - Anderson; Brent A. ;   et al.
2014-03-20
Method Of Forming A Field Effect Transistor Having A Gate Structure With A First Section Having A First Effective Work Function Above A Center Portion Of The Channel Region And With Second Sections Having A Second Effective Work Function Above Opposing Sidewalls Of The Channel Region
App 20140070330 - Anderson; Brent A. ;   et al.
2014-03-13
Nano-filter And Method Of Forming Same, And Method Of Filtration
App 20140061139 - Anderson; Brent A. ;   et al.
2014-03-06
Source/drain-to-source/drain Recessed Strap And Methods Of Manufacture Of Same
App 20140021554 - Anderson; Brent A. ;   et al.
2014-01-23
Nano-filter and method of forming same, and method of filtration
Grant 08617412 -
2013-12-31
Simultaneous Formation Of Finfet And Mugfet
App 20130299908 - Anderson; Brent A. ;   et al.
2013-11-14
Formation Of Multi-height Mugfet
App 20130285145 - Anderson; Brent A. ;   et al.
2013-10-31
Replacement Gate Structures And Methods Of Manufacturing
App 20130270644 - ANDERSON; Brent A. ;   et al.
2013-10-17
Contact Bars For Modifying Stress In Semiconductor Device And Related Method
App 20130240997 - Anderson; Brent A. ;   et al.
2013-09-19
Structure Fabrication Method
App 20130230960 - Anderson; Brent A. ;   et al.
2013-09-05
Localized Implant Into Active Region For Enhanced Stress
App 20130217198 - Anderson; Brent A. ;   et al.
2013-08-22
Replacement-gate Finfet Structure And Process
App 20130200454 - Anderson; Brent A. ;   et al.
2013-08-08
Devices With Gate-to-gate Isolation Structures And Methods Of Manufacture
App 20130200458 - ANDERSON; Brent A. ;   et al.
2013-08-08
Method, Structure And Design Structure For Customizing History Effects Of Soi Circuits
App 20130187243 - ANDERSON; Brent A. ;   et al.
2013-07-25
Damascene Metal Gate And Shield Structure, Methods Of Manufacture And Design Structures
App 20130175651 - ANDERSON; Brent A. ;   et al.
2013-07-11
Methods Of Fabricating Trench Generated Device Structures
App 20130171796 - Anderson; Brent A. ;   et al.
2013-07-04
Body Contacted Hybrid Surface Semiconductor-on-insulator Devices
App 20130171780 - Anderson; Brent A. ;   et al.
2013-07-04
Structure, Method And System For Complementary Strain Fill For Integrated Circuit Chips
App 20130161748 - Anderson; Brent A. ;   et al.
2013-06-27
Devices With Gate-to-gate Isolation Structures And Methods Of Manufacture
App 20130164910 - ANDERSON; Brent A. ;   et al.
2013-06-27
Isolation Region Fabrication For Replacement Gate Processing
App 20130161747 - Anderson; Brent A. ;   et al.
2013-06-27
Isolation Structures For Global Shutter Imager Pixel, Methods Of Manufacture And Design Structures
App 20130164877 - ANDERSON; Brent A. ;   et al.
2013-06-27
Asymmetric Anti-halo Field Effect Transistor
App 20130154003 - Adkisson; James W. ;   et al.
2013-06-20
Low Cost Solar Cell Manufacture Method Employing A Reusable Substrate
App 20130146139 - ANDERSON; Brent A. ;   et al.
2013-06-13
Field Effect Transistors (fets) And Methods Of Manufacture
App 20130146956 - ANDERSON; Brent A. ;   et al.
2013-06-13
Method, Structure And Design Structure For Customizing History Effects Of Soi Circuits
App 20130132924 - ANDERSON; Brent A. ;   et al.
2013-05-23
Method For Forming And Structure Of A Recessed Source/drain Strap For A Mugfet
App 20130122668 - Anderson; Brent A. ;   et al.
2013-05-16
Non-uniform Gate Dielectric Charge For Pixel Sensor Cells And Methods Of Manufacturing
App 20130119447 - ANDERSON; Brent A. ;   et al.
2013-05-16
Blanket Short Channel Roll-up Implant With Non-angled Long Channel Compensating Implant Through Patterned Opening
App 20130113050 - Adkisson; James W. ;   et al.
2013-05-09
Chromeless Phase-shifting Photomask With Undercut Rim-shifting Element
App 20130089815 - Anderson; Brent A. ;   et al.
2013-04-11
Method for Fabricating Field Effect Transistor Devices with High-Aspect Ratio Mask
App 20130065370 - Anderson; Brent A. ;   et al.
2013-03-14
Sram Cell Having Recessed Storage Node Connections And Method Of Fabricating Same
App 20130062687 - Anderson; Brent A. ;   et al.
2013-03-14
Transistors Having Stressed Channel Regions And Methods Of Forming Transistors Having Stressed Channel Regions
App 20130056805 - Anderson; Brent A. ;   et al.
2013-03-07
Serial Irradiation Of A Substrate By Multiple Radiation Sources
App 20130043412 - Anderson; Brent A. ;   et al.
2013-02-21
Isolation Region Fabrication For Replacement Gate Processing
App 20130043535 - Anderson; Brent A. ;   et al.
2013-02-21
FET eDRAM TRENCH SELF-ALIGNED TO BURIED STRAP
App 20130015515 - Anderson; Brent A. ;   et al.
2013-01-17
Field Effect Transistor With Suppressed Corner Leakage Through Channel Material Band-edge Modulation, Design Structure And Method
App 20130009255 - Anderson; Brent A. ;   et al.
2013-01-10
BAND EDGE ENGINEERED Vt OFFSET DEVICE
App 20130001693 - ANDERSON; Brent A. ;   et al.
2013-01-03
Devices With Gate-to-gate Isolation Structures And Methods Of Manufacture
App 20120306016 - ANDERSON; Brent A. ;   et al.
2012-12-06
Pixel Sensor Cell With A Dual Work Function Gate Electode
App 20120301990 - Anderson; Brent A. ;   et al.
2012-11-29
Barrier Trench Structure And Methods Of Manufacture
App 20120292704 - ANDERSON; Brent A. ;   et al.
2012-11-22
Damascene Method Of Forming A Semiconductor Structure And A Semiconductor Structure With Multiple Fin-shaped Channel Regions Having Different Widths
App 20120273895 - Anderson; Brent A. ;   et al.
2012-11-01
Dual Metal Gate Corner
App 20120267726 - Anderson; Brent A. ;   et al.
2012-10-25
Dual Stress Device And Method
App 20120241857 - ANDERSON; Brent A. ;   et al.
2012-09-27
Damascene Metal Gate And Shield Structure, Methods Of Manufacture And Design Structures
App 20120235216 - ANDERSON; Brent A. ;   et al.
2012-09-20
Integrated Circuit Structure Incorporating One Or More Asymmetric Field Effect Transistors As Power Gates For An Electronic Circuit With Stacked Symmetric Field Effect Transistors
App 20120228709 - Anderson; Brent A. ;   et al.
2012-09-13
Pixel Sensor Cell With A Dual Work Function Gate Electode
App 20120211854 - Anderson; Brent A. ;   et al.
2012-08-23
Body Contacted Hybrid Surface Semiconductor-on-insulator Devices
App 20120208328 - Anderson; Brent A. ;   et al.
2012-08-16
Circuit With Stacked Structure And Use Thereof
App 20120188008 - ANDERSON; Brent A. ;   et al.
2012-07-26
RECESSED GATE CHANNEL WITH LOW Vt CORNER
App 20120190156 - Anderson; Brent A. ;   et al.
2012-07-26
Multiple Lithographic System Mask Shape Sleeving
App 20120183889 - Anderson; Brent A. ;   et al.
2012-07-19
FET With Replacement Gate Structure and Method of Fabricating the Same
App 20100327360A1 -
2010-12-30

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed