name:-2.1528930664062
name:-4.4594099521637
name:-0.30915594100952
Altera Corporation Patent Filings

Altera Corporation

Patent Applications and Registrations

Patent applications and USPTO patent grants for Altera Corporation.The latest application filed is for "programmable logic device with integrated network-on-chip".

Company Profile
200.200.200
  • Altera Corporation - San Jose CA
  • Altera Corporation - Santa Clara CA US
  • Altera Corporation - Brampton CA CA
  • Altera Corporation - Fremont CA US
  • Altera Corporation - Bornem CA BE
  • Altera Corporation -
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Trademarks
Patent Activity
PatentDate
Systems and methods for detecting and mitigating programmable logic device tampering
Grant 11,436,382 - Pedersen September 6, 2
2022-09-06
Multichip Package With Protocol-configurable Data Paths
App 20220222193 - Ngo; Huy ;   et al.
2022-07-14
Programmable Logic Device With Integrated Network-on-chip
App 20220224656 - Hutton; Michael David ;   et al.
2022-07-14
Integrated Circuit Device With Embedded Programmable Logic
App 20220214982 - Rahman; Arifur ;   et al.
2022-07-07
Integrated circuit applications using partial reconfiguration
Grant 11,381,243 - Walstrom , et al. July 5, 2
2022-07-05
Hybrid architecture for signal processing and signal processing accelerator
Grant 11,334,504 - Perry May 17, 2
2022-05-17
Application-based Dynamic Heterogeneous Many-core Systems And Methods
App 20220137986 - Teh; Chee Hak ;   et al.
2022-05-05
Scalable 2.5d Interface Circuitry
App 20220121616 - Teh; Chee Hak ;   et al.
2022-04-21
Programmable logic device virtualization
Grant 11,303,279 - Munday , et al. April 12, 2
2022-04-12
Multichip package with protocol-configurable data paths
Grant 11,294,842 - Ngo , et al. April 5, 2
2022-04-05
Methods and apparatus for selectively extracting and loading register states
Grant 11,287,870 - Rao , et al. March 29, 2
2022-03-29
Integrated circuit device with embedded programmable logic
Grant 11,281,605 - Rahman , et al. March 22, 2
2022-03-22
Distributed Multi-die Protocol Application Interface
App 20220066977 - Wallichs; Gary Brian ;   et al.
2022-03-03
Hybrid programmable many-core device with on-chip interconnect
Grant 11,256,656 - Hutton , et al. February 22, 2
2022-02-22
Programmable Device Implementing Fixed and Floating Point Functionality in a Mixed Architecture
App 20220027128 - Streicher; Keone ;   et al.
2022-01-27
Scalable 2.5D interface circuitry
Grant 11,226,925 - Teh , et al. January 18, 2
2022-01-18
Scalable 2.5D interface circuitry
Grant 11,194,757 - Teh , et al. December 7, 2
2021-12-07
Channel Sizing For Inter-kernel Communication
App 20210349702 - Baker; Alan ;   et al.
2021-11-11
Distributed multi-die protocol application interface
Grant 11,169,951 - Wallichs , et al. November 9, 2
2021-11-09
Method and apparatus for implementing configurable streaming networks
Grant 11,171,652 - Chen , et al. November 9, 2
2021-11-09
Scalable 2.5D interface circuitry
Grant 11,157,440 - Teh , et al. October 26, 2
2021-10-26
Programmable device implementing fixed and floating point functionality in a mixed architecture
Grant 11,137,983 - Streicher , et al. October 5, 2
2021-10-05
Serial memory interface circuitry for programmable integrated circuits
Grant 11,101,930 - Schulz August 24, 2
2021-08-24
Application-based dynamic heterogeneous many-core systems and methods
Grant 11,093,261 - Teh , et al. August 17, 2
2021-08-17
Method and apparatus for performing fast incremental physical design optimization
Grant 11,093,672 - Khan , et al. August 17, 2
2021-08-17
Method and apparatus for performing different types of convolution operations with the same processing elements
Grant 11,074,492 - Lele , et al. July 27, 2
2021-07-27
Network Functions Virtualization Platforms With Function Chaining Capabilities
App 20210216354 - Rabi; Abdel Hafiz ;   et al.
2021-07-15
Methods For Optimizing Circuit Performance Via Configurable Clock Skews
App 20210216098 - Bourgeault; Mark
2021-07-15
Channel sizing for inter-kernel communication
Grant 11,016,742 - Baker , et al. May 25, 2
2021-05-25
Variable precision floating-point multiplier
Grant 10,996,926 - Langhammer May 4, 2
2021-05-04
Apparatus and Method for Fast-Path Memory Operations
App 20210117102 - Grenier; Richard ;   et al.
2021-04-22
Multichip Package With Protocol-configurable Data Paths
App 20210109882 - Ngo; Huy ;   et al.
2021-04-15
Multi-access Memory System And A Method To Manufacture The System
App 20210104486 - LIU; Hui
2021-04-08
Integrated Circuit Device With Embedded Programmable Logic
App 20210103534 - Rahman; Arifur ;   et al.
2021-04-08
Methods for optimizing circuit performance via configurable clock skews
Grant 10,969,820 - Bourgeault April 6, 2
2021-04-06
Security RAM block with multiple partitions
Grant 10,970,409 - Langhammer April 6, 2
2021-04-06
Network functions virtualization platforms with function chaining capabilities
Grant 10,963,291 - Rabi , et al. March 30, 2
2021-03-30
Method and apparatus for implementing layers on a convolutional neural network accelerator
Grant 10,963,777 - Aydonat , et al. March 30, 2
2021-03-30
Multi-rate transceiver circuitry
Grant 10,958,411 - Oh , et al. March 23, 2
2021-03-23
Methods For Memory Interface Calibration
App 20210082534 - Fung; Ryan ;   et al.
2021-03-18
Overcoming retiming limitations due to initial conditions conflicts
Grant 10,949,599 - Le , et al. March 16, 2
2021-03-16
Method And Apparatus For Performing Parallel Routing Using A Multi-threaded Routing Procedure
App 20210073453 - Betz; Vaughn ;   et al.
2021-03-11
Distributed Multi-die Protocol Application Interface
App 20210064566 - Wallichs; Gary Brian ;   et al.
2021-03-04
Programmable Logic Device Virtualization
App 20210067162 - Munday; David Alexander ;   et al.
2021-03-04
Distributed multi-die protocol application interface
Grant 10,936,531 - Wallichs , et al. March 2, 2
2021-03-02
Methods for incremental circuit physical synthesis
Grant 10,936,772 - Iyer , et al. March 2, 2
2021-03-02
Method and apparatus for relocating design modules while preserving timing closure
Grant 10,909,296 - Wheeler , et al. February 2, 2
2021-02-02
Apparatus and methods for calibrating analog circuitry in an integrated circuit
Grant 10,911,164 - Carvalho , et al. February 2, 2
2021-02-02
Apparatus For Flexible Electronic Interfaces And Associated Methods
App 20210021268 - Ngai; Tony K.
2021-01-21
Multi-access memory system and a method to manufacture the system
Grant 10,896,890 - Liu January 19, 2
2021-01-19
Scalable 2.5d Interface Circuitry
App 20210011878 - Teh; Chee Hak ;   et al.
2021-01-14
Configuration Via High Speed Serial Link
App 20210011875 - Venkata; Ramanand ;   et al.
2021-01-14
Flexible Physical Function And Virtual Function Mapping
App 20210004256 - Zhang; Jiefan ;   et al.
2021-01-07
Multichip package with protocol-configurable data paths
Grant 10,884,964 - Ngo , et al. January 5, 2
2021-01-05
Fixed-point and floating-point arithmetic operator circuits in specialized processing blocks
Grant 10,838,695 - Langhammer November 17, 2
2020-11-17
Selectable reconfiguration for dynamically reconfigurable IP cores
Grant 10,831,960 - Jones , et al. November 10, 2
2020-11-10
Methods for memory interface calibration
Grant 10,832,787 - Fung , et al. November 10, 2
2020-11-10
Hybrid Architecture For Signal Processing And Signal Processing Accelerator
App 20200341918 - Perry; Steven
2020-10-29
Selectively Disabled Output
App 20200336146 - Reese; Laura
2020-10-22
Apparatus and method for fast-path memory operations
Grant 10,802,723 - Grenier , et al. October 13, 2
2020-10-13
Apparatus for flexible electronic interfaces and associated methods
Grant 10,797,702 - Ngai October 6, 2
2020-10-06
Method and apparatus for performing parallel routing using a multi-threaded routing procedure
Grant 10,783,310 - Betz , et al. Sept
2020-09-22
Flexible physical function and virtual function mapping
Grant 10,782,995 - Zhang , et al. Sept
2020-09-22
Fluid routing devices and methods for cooling integrated circuit packages
Grant 10,770,372 - Gutala , et al. Sep
2020-09-08
Reduced floating-point precision arithmetic circuitry
Grant 10,761,805 - Langhammer Sep
2020-09-01
Driver for network timing systems
Grant 10,762,013 - Mallela , et al. Sep
2020-09-01
Hybrid Programmable Many-core Device With On-chip Interconnect
App 20200257651 - A1
2020-08-13
Method And Apparatus For Performing Fast Incremental Physical Design Optimization
App 20200257839 - A1
2020-08-13
Differential Power Analysis Resistant Encryption And Decryption Functions
App 20200244434 - Pedersen; Bruce B.
2020-07-30
Method and apparatus for designing and implementing a convolution neural net accelerator
Grant 10,726,328 - Ling , et al.
2020-07-28
Methods and apparatus for selectively extracting and loading register states
Grant 10,725,528 - Rao , et al.
2020-07-28
Multi-Rate Transceiver Circuitry
App 20200235906 - Oh; Boon Hong ;   et al.
2020-07-23
Selectively disabled output
Grant 10,720,927 - Reese
2020-07-21
Integrated circuit device with embedded programmable logic
Grant 10,719,460 - Rahman , et al.
2020-07-21
Method And Apparatus For Performing Profile Guided Optimization For High-level Synthesis
App 20200225922 - Denisenko; Dmitry N.
2020-07-16
Scalable 2.5d Interface Circuitry
App 20200226094 - Teh; Chee Hak ;   et al.
2020-07-16
Method And Apparatus For Implementing Configurable Streaming Networks
App 20200228121 - Chen; Doris Tzu Lang ;   et al.
2020-07-16
Efficient virtual I/O address translation
Grant 10,713,083 - Bridgers
2020-07-14
Method and apparatus for verifying initial state equivalence of changed registers in retimed circuits
Grant 10,706,203 - Iyer
2020-07-07
Methods and apparatus for regulating the supply voltage of an integrated circuit
Grant 10,699,045 - Mendel , et al.
2020-06-30
Method and Apparatus for Implementing Layers on a Convolutional Neural Network Accelerator
App 20200193267 - Aydonat; Utku ;   et al.
2020-06-18
Power gated lookup table circuitry
Grant 10,686,446 - Ng , et al.
2020-06-16
Programmable logic device virtualization
Grant 10,686,449 - Munday , et al.
2020-06-16
Network Functions Virtualization Platforms With Function Chaining Capabilities
App 20200183727 - Rabi; Abdel Hafiz ;   et al.
2020-06-11
Distributed Multi-die Protocol Application Interface
App 20200183877 - Wallichs; Gary Brian ;   et al.
2020-06-11
Systems And Methods For Detecting And Mitigating Programmable Logic Device Tampering
App 20200184118 - Pedersen; Bruce B.
2020-06-11
Denormalization in multi-precision floating-point arithmetic circuitry
Grant 10,678,510 - Langhammer
2020-06-09
Hybrid architecture for signal processing and signal processing accelerator
Grant 10,678,715 - Perry
2020-06-09
Method and apparatus for implementing a system-level design tool for design planning and architecture exploration
Grant 10,678,979 - Hutton , et al.
2020-06-09
Variable Precision Floating-point Multiplier
App 20200174750 - Langhammer; Martin
2020-06-04
Method and apparatus for performing register retiming in the presence of false path timing analysis exceptions
Grant 10,671,781 - Derisavi , et al.
2020-06-02
Method and apparatus for verifying structural correctness in retimed circuits
Grant 10,671,790 - Iyer
2020-06-02
Techniques for protecting security features of integrated circuits
Grant 10,657,291 - Pedersen , et al.
2020-05-19
Configuration via high speed serial link
Grant 10,649,944 - Venkata , et al.
2020-05-12
Integrated circuits with specialized processing blocks for performing floating-point fast fourier transforms and complex multiplication
Grant 10,649,731 - Langhammer
2020-05-12
Programmable Circuit Having Multiple Sectors
App 20200136624 - How; Dana ;   et al.
2020-04-30
Hybrid programmable many-core device with on-chip interconnect
Grant 10,635,631 - Hutton , et al.
2020-04-28
Method and apparatus for performing fast incremental physical design optimization
Grant 10,635,772 - Khan , et al.
2020-04-28
Method And Apparatus For Performing Incremental Compilation Using Structural Netlist Comparison
App 20200125781 - Chan; Kevin ;   et al.
2020-04-23
Memory Controller Architecture With Improved Memory Scheduling Efficiency
App 20200118606 - Teh; Chee Hak
2020-04-16
Method and apparatus for implementing layers on a convolutional neural network accelerator
Grant 10,614,354 - Aydonat , et al.
2020-04-07
Methods and apparatus for performing product series operations in multiplier accumulator blocks
Grant 10,613,831 - Langhammer
2020-04-07
Method and apparatus for implementing configurable streaming networks
Grant 10,615,800 - Chen , et al.
2020-04-07
Dynamic parameter operation of an FPGA
Grant 10,615,802 - Betz , et al.
2020-04-07
Multi-rate transceiver circuitry
Grant 10,615,955 - Oh , et al.
2020-04-07
Methods and apparatus for performing partial reconfiguration in a pipeline-based network topology
Grant 10,606,779 - Custodio
2020-03-31
M/A for compiling parallel program having barrier synchronization for programmable hardware
Grant 10,599,404 - Neto , et al.
2020-03-24
Systems and methods for detecting and mitigating of programmable logic device tampering
Grant 10,592,699 - Pedersen
2020-03-17
Programmable integrated circuits with in-operation reconfiguration capability
Grant 10,591,544 - How , et al.
2020-03-17
Method and apparatus for utilizing estimations for register retiming in a design compilation flow
Grant 10,586,004 - Sinnadurai , et al.
2020-03-10
Scalable 2.5d Interface Circuitry
App 20200073851 - Teh; Chee Hak ;   et al.
2020-03-05
Multichip Package With Protocol-configurable Data Paths
App 20200065282 - Ngo; Huy ;   et al.
2020-02-27
Circuitry and methods for continuous parallel decoder operation
Grant 10,574,267 - Langhammer Feb
2020-02-25
Methods and apparatus for sequencing multiply-accumulate operations
Grant 10,572,224 - Manohararajah Feb
2020-02-25
Variable precision floating-point multiplier
Grant 10,572,222 - Langhammer Feb
2020-02-25
Programmable Integrated Circuits With Multiplexer And Register Pipelining Circuitry
App 20200057610 - Esposito; Benjamin
2020-02-20
Serial Memory Interface Circuitry for Programmable Integrated Circuits
App 20200059318 - Schulz; Jeffrey
2020-02-20
Distributed multi-die protocol application interface
Grant 10,565,155 - Wallichs , et al. Feb
2020-02-18
Method And Apparatus For Relocating Design Modules While Preserving Timing Closure
App 20200050729 - Wheeler; Mark Stephen ;   et al.
2020-02-13
Method and apparatus for performing profile guided optimization for high-level synthesis
Grant 10,558,437 - Denisenko Feb
2020-02-11
Methods For Optimizing Circuit Performance Via Configurable Clock Skews
App 20200042033 - Bourgeault; Mark
2020-02-06
Memory interface circuitry with distributed data reordering capabilities
Grant 10,552,052 - Teh Fe
2020-02-04
Driver For Network Timing Systems
App 20200034320 - Mallela; Sita Rama Chandrasekhar ;   et al.
2020-01-30
Intellectual property (IP) blocks with customizable configuration status register (CSR) circuitry
Grant 10,546,087 - Lee , et al. Ja
2020-01-28
Programmable Device Implementing Fixed and Floating Point Functionality in a Mixed Architecture
App 20200026493 - Streicher; Keone ;   et al.
2020-01-23
Techniques for signal skew compensation
Grant 10,523,224 - Mendel , et al. Dec
2019-12-31
Programmable circuit having multiple sectors
Grant 10,523,207 - How , et al. Dec
2019-12-31
Integrated Circuit Applications Using Partial Reconfiguration
App 20190393878 - Walstrom; Joshua ;   et al.
2019-12-26
State visibility and manipulation in integrated circuits
Grant 10,515,165 - Hutton , et al. Dec
2019-12-24
Integrated circuits having expandable processor memory
Grant 10,509,757 - Kim , et al. Dec
2019-12-17
Scalable 2.5D interface architecture
Grant 10,505,544 - Teh Dec
2019-12-10
Method and apparatus for data re-packing for link optimization
Grant 10,505,837 - Richard , et al. Dec
2019-12-10
Integrated circuit package with enhanced cooling structure
Grant 10,504,819 - Gutala , et al. Dec
2019-12-10
Integrated circuit calibration system using general purpose processors
Grant 10,495,686 - Wong , et al. De
2019-12-03
Serial memory interface circuitry for programmable integrated circuits
Grant 10,491,333 - Schulz Nov
2019-11-26
Systems and methods for multiport to multiport cryptography
Grant 10,489,610 - Groza Nov
2019-11-26
Live migration of hardware accelerated applications
Grant 10,489,193 - Nye , et al. Nov
2019-11-26
Network functions virtualization platforms with function chaining capabilities
Grant 10,489,178 - Rabi , et al. Nov
2019-11-26
Programmable integrated circuits with multiplexer and register pipelining circuitry
Grant 10,489,116 - Esposito Nov
2019-11-26
Memory controller architecture with improved memory scheduling efficiency
Grant 10,482,934 - Teh Nov
2019-11-19
Techniques for detecting and correcting errors on a ring oscillator
Grant 10,483,951 - Gaspard , et al. Nov
2019-11-19
Methods and apparatus for controlling interface circuitry
Grant 10,482,060 - Teh , et al. Nov
2019-11-19
Systems And Methods For Data Transfer Over A Shared Interface
App 20190347238 - Kugel; Alexander ;   et al.
2019-11-14
Method and apparatus for performing automatic data compression algorithm selection during high-level compilation
Grant 10,474,441 - Denisenko Nov
2019-11-12
Apparatus for flexible electronic interfaces and associated methods
Grant 10,476,505 - Ngai Nov
2019-11-12
Programmable device implementing fixed and floating point functionality in a mixed architecture
Grant 10,474,429 - Streicher , et al. Nov
2019-11-12
Variable Precision Floating-point Multiplier
App 20190324722 - Langhammer; Martin
2019-10-24
Network-on-chip With Fixed And Configurable Functions
App 20190327176 - How; Dana ;   et al.
2019-10-24
Configuring programmable integrated circuit device resources as processors
Grant 10,452,392 - Lewis , et al. Oc
2019-10-22
Increasing error rate detection through distribution of read current load
Grant 10,446,202 - Atsatt Oc
2019-10-15
Multi-rate transceiver circuitry
Grant 10,439,795 - Oh , et al. O
2019-10-08
Interface circuitry for parallel computing architecture circuits
Grant 10,437,743 - Capalija , et al. O
2019-10-08
Dynamic clock-data phase alignment in a source synchronous interface circuit
Grant 10,439,615 - Patil , et al. O
2019-10-08
Integrated Circuit Device With Embedded Programmable Logic
App 20190303317 - Rahman; Arifur ;   et al.
2019-10-03
Methods and apparatus for reducing power consumption in memory circuitry by controlling precharge duration
Grant 10431269 -
2019-10-01
Fixed-point And Floating-point Arithmetic Operator Circuits In Specialized Processing Blocks
App 20190286417 - Langhammer; Martin
2019-09-19
Methods For Memory Interface Calibration
App 20190287638 - Fung; Ryan ;   et al.
2019-09-19
Apparatus and method to reduce memory subsystem power dynamically
Grant 10416910 -
2019-09-17
Method and apparatus for deriving signal activities for power analysis and optimization
Grant 10417362 -
2019-09-17
Method and apparatus for performing register retiming by utilizing native timing-driven constraints
Grant 10417374 -
2019-09-17
Methods and apparatus for high-speed serial interface link assist
Grant 10417169 -
2019-09-17
Pipelined cascaded digital signal processing structures and methods
Grant 10417004 -
2019-09-17
Hierarchical accelerator registry for optimal performance predictability in network function virtualization
Grant 10409626 -
2019-09-10
Techniques For Signal Skew Compensation
App 20190273504 - Mendel; David ;   et al.
2019-09-05
Multi-function, multi-protocol FIFO for high-speed communication
Grant 10404627 -
2019-09-03
Method and apparatus for relocating design modules while preserving timing closure
Grant 10394997 -
2019-08-27
Denormalization In Multi-precision Floating-point Arithmetic Circuitry
App 20190250886 - Langhammer; Martin
2019-08-15
Method And Apparatus For Performing Incremental Compilation Using Structural Netlist Comparison
App 20190251220 - Chan; Kevin ;   et al.
2019-08-15
Efficient Virtual I/o Address Translation
App 20190243675 - Bridgers; Kenneth Vincent
2019-08-08
Memory Interface Circuitry With Distributed Data Reordering Capabilities
App 20190227716 - Teh; Chee Hak
2019-07-25
Overcoming Retiming Limitations Due To Initial Conditions Conflicts
App 20190228128 - Le; Dai ;   et al.
2019-07-25
Apparatus for Flexible Electronic Interfaces and Associated Methods
App 20190214991 - Ngai; Tony K.
2019-07-11
Programmable Logic Device With Integrated Network-on-chip
App 20190215280 - Hutton; Michael David ;   et al.
2019-07-11
Distributed Multi-die Protocol Application Interface
App 20190179792 - Wallichs; Gary Brian ;   et al.
2019-06-13
Hybrid Architecture For Signal Processing And Signal Processing Accelerator
App 20190171591 - Perry; Steven
2019-06-06
Methods And Apparatus For Selectively Extracting And Loading Register States
App 20190155367 - Rao; Shiva ;   et al.
2019-05-23
High Speed Fpga Boot-up Through Concurrent Multi-frame Configuration Scheme
App 20190156873 - Tan; Jun Pin ;   et al.
2019-05-23
Dynamic Clock-data Phase Alignment In A Source Synchronous Interface Circuit
App 20190149154 - PATIL; Dinesh ;   et al.
2019-05-16
Live Migration Of Hardware Accelerated Applications
App 20190129744 - Nye; Jeffrey L. ;   et al.
2019-05-02
Hybrid Programmable Many-core Device With On-chip Interconnect
App 20190121783 - Hutton; Michael D. ;   et al.
2019-04-25
Integrated Circuits With Specialized Processing Blocks For Performing Floating-point Fast Fourier Transforms And Complex Multiplication
App 20190121614 - Langhammer; Martin
2019-04-25
Dynamic Parameter Operation Of An Fpga
App 20190115924 - BETZ; Vaughan ;   et al.
2019-04-18
Method And Apparatus For Performing Parallel Routing Using A Multi-threaded Routing Procedure
App 20190108303 - Betz; Vaughn ;   et al.
2019-04-11
Multi-Rate Transceiver Circuitry
App 20190097784 - Oh; Boon Hong ;   et al.
2019-03-28
Flexible Physical Function And Virtual Function Mapping
App 20190079794 - Zhang; Jiefan ;   et al.
2019-03-14
Accelerator Architecture On A Programmable Platform
App 20190065188 - Shippy; David ;   et al.
2019-02-28
Methods For Optimizing Circuit Performance Via Configurable Clock Skews
App 20190064872 - Bourgeault; Mark
2019-02-28
Method And Apparatus For Relocating Design Modules While Preserving Timing Closure
App 20190065652 - Wheeler; Mark Stephen ;   et al.
2019-02-28
Systems And Methods For Multiport To Multiport Cryptography
App 20190057227 - Groza; Robert
2019-02-21
Programmable Logic Device Virtualization
App 20190052274 - Munday; David Alexander ;   et al.
2019-02-14
Reduced Floating-point Precision Arithmetic Circuitry
App 20190042191 - Langhammer; Martin
2019-02-07
Techniques For Protecting Security Features of Integrated Circuits
App 20190026497 - Pedersen; Bruce ;   et al.
2019-01-24
Apparatus And Methods For Calibrating Analog Circuitry In An Integrated Circuit
App 20190028213 - Carvalho; Neville ;   et al.
2019-01-24
Programmable Integrated Circuits With In-operation Reconfiguration Capability
App 20190018063 - How; Dana ;   et al.
2019-01-17
Methods And Apparatus For Regulating The Supply Voltage Of An Integrated Circuit
App 20180373829 - MENDEL; David W. ;   et al.
2018-12-27
Fixed-point And Floating-point Arithmetic Operator Circuits In Specialized Processing Blocks
App 20180341460 - Langhammer; Martin
2018-11-29
Methods And Apparatus For Performing Product Series Operations In Multiplier Accumulator Blocks
App 20180341461 - Langhammer; Martin
2018-11-29
Apparatus For Flexible Electronic Interfaces And Associated Methods
App 20180337681 - Ngai; Tony K.
2018-11-22
Variable Precision Floating-point Multiplier
App 20180321909 - Langhammer; Martin
2018-11-08
Non-intrusive Monitoring And Control Of Integrated Circuits
App 20180322026 - Olgiati; Andrea ;   et al.
2018-11-08
Incremental Register Retiming Of An Integrated Circuit Design
App 20180293343 - Sinnadurai; Nishanth ;   et al.
2018-10-11
Clock Management Block
App 20180287616 - Townley; Kent R. ;   et al.
2018-10-04
Scalable 2.5d Interface Circuitry
App 20180239738 - Teh; Chee Hak ;   et al.
2018-08-23
Circuitry And Methods For Continuous Parallel Decoder Operation
App 20180241415 - Langhammer; Martin
2018-08-23
Method And Apparatus For Performing Register Retiming In The Presence Of False Path Timing Analysis Exceptions
App 20180232475 - DERISAVI; Salem ;   et al.
2018-08-16
Power Management For Pci Express
App 20180217657 - Song; Ting Lok
2018-08-02
Safety Features For High Level Design
App 20180218094 - Titley; Adam
2018-08-02
Memory Controller Architecture With Improved Memory Scheduling Efficiency
App 20180211697 - Teh; Chee Hak
2018-07-26
Methods For Updating Memory Maps Of A System-on-chip
App 20180203781 - Munday; David Alexander ;   et al.
2018-07-19
Modular Offloading For Computationally Intensive Tasks
App 20180196698 - Mak; Hong Beng
2018-07-12
Method And Apparatus For Automatic Hierarchical Design Partitioning
App 20180189427 - Brissenden; Scott James ;   et al.
2018-07-05
Backchannel Transmission Adaptation
App 20180191530 - Wallichs; Gary Brian ;   et al.
2018-07-05
NETWORK PROCESSOR FPGA (npFPGA): MULTI-DIE-FPGA CHIP FOR SCALABLE MULTI-GIGABIT NETWORK PROCESSING
App 20180164865 - Venkataraman; Krishnan
2018-06-14
Application-based Dynamic Heterogeneous Many-core Systems And Methods
App 20180157503 - Teh; Chee Hak ;   et al.
2018-06-07
Methods For Memory Interface Calibration
App 20180151243 - Fung; Ryan ;   et al.
2018-05-31
Denormalization In Multi-precision Floating-point Arithmetic Circuitry
App 20180121168 - Langhammer; Martin
2018-05-03
Systems And Methods For Preventing Data Remanence In Memory Systems
App 20180121682 - Pedersen; Bruce B. ;   et al.
2018-05-03
Techniques For Handling High Voltage Circuitry In An Integrated Circuit
App 20180109262 - Lee; Andy ;   et al.
2018-04-19
Methods And Apparatus For Dynamically Configuring Soft Processors On An Integrated Circuit
App 20180101633 - Phoon; Chee Nouk ;   et al.
2018-04-12
Methods And Apparatus For Managing Application-specific Power Gating On Multichip Packages
App 20180102776 - Chandrasekar; Karthik ;   et al.
2018-04-12
Circuitry For Reducing Leakage Current In Configuration Memory
App 20180096714 - Ng; Bee Yee ;   et al.
2018-04-05
Smart Diagnosis Of Integrated Circuits Including Ip Cores With Encrypted Simulation Models
App 20180089352 - Vijayendra; Vishwas Tumkur ;   et al.
2018-03-29
Reset Sequencing for Reducing Noise on a Power Distribution Network
App 20180089355 - Jones; Jakob Raymond ;   et al.
2018-03-29
Integrated Circuits With Specialized Processing Blocks For Performing Floating-point Fast Fourier Transforms And Complex Multiplication
App 20180088906 - Langhammer; Martin
2018-03-29
Adaptive Rate-matching First-in First-out (fifo) System
App 20180088622 - Leong; Han Hua ;   et al.
2018-03-29
Hybrid Programmable Many-core Device With On-chip Interconnect
App 20180089139 - Hutton; Michael D. ;   et al.
2018-03-29
Methods And Devices For Reducing Clock Skew In Bidirectional Clock Trees
App 20180091154 - Ooi; Boon Haw
2018-03-29
Interconnection Of An Embedded Die
App 20180090474 - Foo; Loke Yip ;   et al.
2018-03-29
Methods And Apparatus For Automated Adaptation Of Transmitter Equalizer Tap Settings
App 20180091181 - Alderrou; Donald ;   et al.
2018-03-29
Zero-offset Sampling For Clock Duty Cycle Correction
App 20180091128 - Lau; Ker Yon
2018-03-29
Fluid Routing Devices And Methods For Cooling Integrated Circuit Packages
App 20180090417 - Gutala; Ravi ;   et al.
2018-03-29
Variable Precision Floating-point Adder And Subtractor
App 20180081633 - Langhammer; Martin
2018-03-22
Methods And Apparatus For Performing Partial Reconfiguration In A Pipeline-based Network Topology
App 20180081840 - Custodio; Evan
2018-03-22
Distributed Double-precision Floating-point Multiplication
App 20180081631 - Langhammer; Martin
2018-03-22
Reduced Floating-point Precision Arithmetic Circuitry
App 20180081632 - Langhammer; Martin
2018-03-22
Integrated Circuits Having Expandable Processor Memory
App 20180081696 - Kim; Paul ;   et al.
2018-03-22
Pipelined Interconnect Circuitry Having Reset Values Holding Capabilities
App 20180082720 - Richard; Frederic
2018-03-22
Techniques For Power Control Of Circuit Blocks
App 20180083626 - Tang; Lai Guan
2018-03-22
Multi-rate Transceiver Circuitry
App 20180083765 - Oh; Boon Hong ;   et al.
2018-03-22
On-die Capacitor (odc) Structure
App 20180083091 - Oh; Kyung Suk ;   et al.
2018-03-22
Dot Product Based Processing Elements
App 20180074996 - Ling; Andrew Chaang ;   et al.
2018-03-15
Memory Controller Architecture With Improved Memory Scheduling Efficiency
App 20180074704 - Teh; Chee Hak
2018-03-15
Programmable Logic Device Virtualization
App 20180076814 - Munday; David Alexander ;   et al.
2018-03-15
Fast Filtering
App 20180074787 - Aydonat; Utku ;   et al.
2018-03-15
Systems and Methods for Detecting and Mitigating of Programmable Logic Device Tampering
App 20180068136 - Pedersen; Bruce B.
2018-03-08
Systems And Methods For A Low Hold-time Sequential Input Stage
App 20180069533 - How; Dana ;   et al.
2018-03-08
Scalable 2.5d Interface Architecture
App 20180069551 - Teh; Chee Hak
2018-03-08
Systems And Methods For Authenticating Firmware Stored On An Integrated Circuit
App 20180060561 - Pedersen; Bruce B.
2018-03-01
Variable Precision Floating-point Multiplier
App 20180052661 - Langhammer; Martin
2018-02-22
Voltage Regulator With Jitter Control
App 20180054110 - Lim; Teik Wah ;   et al.
2018-02-22
Method and Apparatus for Verifying Structural Correctness in Retimed Circuits
App 20180039724 - Iyer; Mahesh A.
2018-02-08
Techniques For Generating Pulse-Width Modulation Data
App 20180041201 - Tang; Lai Guan ;   et al.
2018-02-08
Dynamic Clock-data Phase Alignment In A Source Synchronous Interface Circuit
App 20180041328 - Patil; Dinesh ;   et al.
2018-02-08
Multi-function, Multi-protocol Fifo For High-speed Communication
App 20180034748 - Ngo; Huy ;   et al.
2018-02-01
Feedback Control Systems With Pulse Density Signal Processing Capabilities
App 20180026642 - Jeppesen; Benjamin Peter
2018-01-25
Method and Apparatus for Improving System Operation by Replacing Components for Performing Division During Design Compilation
App 20180025100 - Gribok; Sergey
2018-01-25
Apparatus For Configurable Interface And Associated Methods
App 20180026638 - Atsatt; Sean R. ;   et al.
2018-01-25
Methods And Apparatus For Performing Reed-solomon Encoding By Lagrangian Polynomial Fitting
App 20180006664 - Langhammer; Martin ;   et al.
2018-01-04
Circuit Design Instrumentation For State Visualization
App 20180004878 - Hutton; Michael
2018-01-04
Integrated Circuits With Hybrid Fixed/configurable Clock Networks
App 20180006653 - Duong; Kenneth ;   et al.
2018-01-04
Methods And Apparatus For Smart Memory Interface
App 20170371594 - TEH; Chee Hak
2017-12-28
Methods For Specifying Processor Architectures For Programmable Integrated Circuits
App 20170371836 - Langhammer; Martin
2017-12-28
Method And Apparatus For Data Detection And Event Capture
App 20170371818 - SAW; Si Xing ;   et al.
2017-12-28
Apparatus And Methods For On-die Temperature Sensing To Improve Fpga Performance
App 20170373690 - Perisetty; Srinivas
2017-12-28
Method And Apparatus For Phase-aligned 2x Frequency Clock Generation
App 20170373675 - KE; Yanjing
2017-12-28
Parallel Configured Resistive Memory Elements
App 20170365643 - McElheny; Peter John ;   et al.
2017-12-21
Phase-locked Loops With Electrical Overstress Protection Circuitry
App 20170366190 - Leong; Chee Seng
2017-12-21
Techniques For Detecting And Correcting Errors On A Ring Oscillator
App 20170366174 - Gaspard; Nelson ;   et al.
2017-12-21
Selectively Disabled Output
App 20170366186 - Reese; Laura
2017-12-21
Configuration Via High Speed Serial Link
App 20170357606 - Venkata; Ramanand ;   et al.
2017-12-14
Supporting Pseudo Open Drain Input/output Standards In A Programmable Logic Device
App 20170359073 - Azizi; Navid ;   et al.
2017-12-14
Emulated Multiport Memory Element Circuitry With Exclusive-or Based Control Circuitry
App 20170352393 - Chu; Pohrong Rita
2017-12-07
Integrated Circuit Calibration System Using General Purpose Processors
App 20170350937 - Wong; Wai Tat ;   et al.
2017-12-07
Low-skew Channel Bonding Using Phase-measuring Fifo Buffer
App 20170353335 - MENDEL; David W. ;   et al.
2017-12-07
Method and Apparatus for Implementing Soft Constraints in Tools Used for Designing Programmable Logic Devices
App 20170337318 - Borer; Terry ;   et al.
2017-11-23
Method And Apparatus For Secure Provisioning Of An Integrated Circuit Device
App 20170339116 - Atsatt; Sean R.
2017-11-23
Embedded Built-in Self-test (bist) Circuitry For Digital Signal Processor (dsp) Validation
App 20170328951 - Liew; Weng Hong
2017-11-16
Current Limited Power Converter Circuits And Methods
App 20170331363 - Travaglini; Dominick ;   et al.
2017-11-16
Pipelined Cascaded Digital Signal Processing Structures And Methods
App 20170322813 - Langhammer; Martin
2017-11-09
Structures For Lut-based Arithmetic In Plds
App 20170322775 - Padalia; Ketan ;   et al.
2017-11-09
Fixed-point And Floating-point Arithmetic Operator Circuits In Specialized Processing Blocks
App 20170322769 - Langhammer; Martin
2017-11-09
Company Registrations

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed