loadpatents
name:-0.060106039047241
name:-0.052711963653564
name:-0.011132955551147
Zyuban; Victor Patent Filings

Zyuban; Victor

Patent Applications and Registrations

Patent applications and USPTO patent grants for Zyuban; Victor.The latest application filed is for "voltage regulation using local feedback".

Company Profile
10.51.58
  • Zyuban; Victor - Sunnyvale CA
  • Zyuban; Victor - Yorktown Heights NY
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Voltage Regulation Using Local Feedback
App 20220300022 - Searles; Shawn ;   et al.
2022-09-22
Method for multiplexing between power supply signals for voltage limited circuits
Grant 11,418,194 - Cox , et al. August 16, 2
2022-08-16
Efficient retention flop utilizing different voltage domain
Grant 11,418,174 - Hess , et al. August 16, 2
2022-08-16
Voltage regulation using local feedback
Grant 11,320,849 - Searles , et al. May 3, 2
2022-05-03
Voltage Regulation Using Local Feedback
App 20220066490 - Searles; Shawn ;   et al.
2022-03-03
Power Converter with Charge Injection from Booster Rail
App 20220029536 - Zyuban; Victor ;   et al.
2022-01-27
Method for Multiplexing Between Power Supply Signals for Voltage Limited Circuits
App 20210376831 - Cox; Keith ;   et al.
2021-12-02
Sram bit cell retention
Grant 11,152,046 - Raszka , et al. October 19, 2
2021-10-19
Method for multiplexing between power supply signals for voltage limited circuits
Grant 11,121,711 - Cox , et al. September 14, 2
2021-09-14
Efficient Retention Flop Utilizing Different Voltage Domain
App 20210250019 - Hess; Greg M. ;   et al.
2021-08-12
Efficient retention flop utilizing different voltage domain
Grant 11,005,459 - Hess , et al. May 11, 2
2021-05-11
Power switch multiplexer with configurable overlap
Grant 10,908,663 - Zyuban , et al. February 2, 2
2021-02-02
Method for Multiplexing Between Power Supply Signals for Voltage Limited Circuits
App 20210028785 - Cox; Keith ;   et al.
2021-01-28
Power Switch Multiplexer with Configurable Overlap
App 20200387205 - Zyuban; Victor ;   et al.
2020-12-10
Method for multiplexing between power supply signals for voltage limited circuits
Grant 10,763,859 - Cox , et al. Sep
2020-09-01
Method For Multiplexing Between Power Supply Signals For Voltage Limited Circuits
App 20200162077 - Cox; Keith ;   et al.
2020-05-21
Management of core power state transition in a microprocessor
Grant 10,599,207 - Allen-Ware , et al.
2020-03-24
Low leakage power switch
Grant 10,523,194 - Raszka , et al. Dec
2019-12-31
Method for multiplexing between power supply signals for voltage limited circuits
Grant 10,483,974 - Cox , et al. Nov
2019-11-19
Low Leakage Power Switch
App 20190097622 - Raszka; Jaroslav ;   et al.
2019-03-28
Method For Multiplexing Between Power Supply Signals For Voltage Limited Circuits
App 20190052271 - Cox; Keith ;   et al.
2019-02-14
Balancing delay associated with dual-edge trigger clock gaters
Grant 10,187,045 - Zyuban , et al. Ja
2019-01-22
Method for multiplexing between power supply signals for voltage limited circuits
Grant 10,084,450 - Cox , et al. September 25, 2
2018-09-25
Dynamic workload frequency optimization
Grant 9,971,393 - Christensen , et al. May 15, 2
2018-05-15
Deterministic current based frequency optimization of processor chip
Grant 9,952,651 - Allen-Ware , et al. April 24, 2
2018-04-24
Management Of Core Power State Transition In A Microprocessor
App 20180101217 - ALLEN-WARE; Malcolm S. ;   et al.
2018-04-12
Management of core power state transition in a microprocessor
Grant 9,933,836 - Allen-Ware , et al. April 3, 2
2018-04-03
Flip flop using dual inverter feedback
Grant 9,929,723 - Zyuban , et al. March 27, 2
2018-03-27
Balancing Delay Associated With Dual-edge Trigger Clock Gaters
App 20180026613 - Zyuban; Victor ;   et al.
2018-01-25
Generating an overdrive voltage for power switch circuitry
Grant 9,871,507 - Zyuban , et al. January 16, 2
2018-01-16
Deterministic current based frequency optimization of processor chip
Grant 9,778,726 - Allen-Ware , et al. October 3, 2
2017-10-03
Flip Flop Using Dual Inverter Feedback
App 20170264274 - Zyuban; Victor ;   et al.
2017-09-14
Modulation of power supply voltage for varying propagation delay
Grant 9,712,141 - Zyuban , et al. July 18, 2
2017-07-18
Dynamic Workload Frequency Optimization
App 20170177064 - Christensen; Bjorn P. ;   et al.
2017-06-22
Modulation of Power Supply Voltage for Varying Propagation Delay
App 20170163248 - Zyuban; Victor ;   et al.
2017-06-08
Dual-edge trigger clock gater
Grant 9,660,620 - Zyuban , et al. May 23, 2
2017-05-23
Management of core power state transition in a microprocessor
Grant 9,568,982 - Allen-Ware , et al. February 14, 2
2017-02-14
Deterministic Current Based Frequency Optimization Of Processor Chip
App 20170031415 - ALLEN-WARE; Malcolm S. ;   et al.
2017-02-02
Deterministic Current Based Frequency Optimization Of Processor Chip
App 20170031417 - ALLEN-WARE; Malcolm S. ;   et al.
2017-02-02
Management Of Core Power State Transition In A Microprocessor
App 20170031418 - ALLEN-WARE; Malcolm S. ;   et al.
2017-02-02
Management Of Core Power State Transition In A Microprocessor
App 20170031427 - ALLEN-WARE; Malcolm S. ;   et al.
2017-02-02
Managing interconnect electromigration effects
Grant 9,477,568 - Allen-Ware , et al. October 25, 2
2016-10-25
Predictively turning off a charge pump supplying voltage for overdriving gates of the power switch header in a microprocessor with power gating
Grant 9,471,136 - Bose , et al. October 18, 2
2016-10-18
Accelerating microprocessor core wake up via charge from capacitance tank without introducing noise on power grid of running microprocessor cores
Grant 9,423,865 - Bose , et al. August 23, 2
2016-08-23
Contributor-based power modeling of microprocessor components
Grant 9,424,381 - Dhanwada , et al. August 23, 2
2016-08-23
Predictively turning off a charge pump supplying voltage for overdriving gates of the power switch header in a microprocessor with power gating
Grant 9,389,674 - Bose , et al. July 12, 2
2016-07-12
Rotating voltage control
Grant 9,323,302 - Bose , et al. April 26, 2
2016-04-26
Accelerating the microprocessor core wakeup by predictively executing a subset of the power-up sequence
Grant 9,298,253 - Bose , et al. March 29, 2
2016-03-29
Accelerating the microprocessor core wakeup by predictively executing a subset of the power-up sequence
Grant 9,292,079 - Bose , et al. March 22, 2
2016-03-22
Rotating Voltage Control
App 20150177796 - Bose; Pradip ;   et al.
2015-06-25
Efficient Wakeup Of Power Gated Domains Through Charge Sharing And Recycling
App 20150162899 - Bose; Pradip ;   et al.
2015-06-11
Efficient Wakeup Of Power Gated Domains Through Charge Sharing And Recycling
App 20150162903 - Bose; Pradip ;   et al.
2015-06-11
Efficient Wakeup Of Power Gated Domains Through Charge Sharing And Recycling
App 20150162898 - Bose; Pradip ;   et al.
2015-06-11
Efficient Wakeup Of Power Gated Domains Through Charge Sharing And Recycling
App 20150162904 - Bose; Pradip ;   et al.
2015-06-11
Managing Interconnect Electromigration Effects
App 20150094995 - Allen-Ware; Malcolm S. ;   et al.
2015-04-02
Efficient Wakeup Of Power Gated Domains Through Charge Sharing And Recycling
App 20150077170 - Bose; Pradip ;   et al.
2015-03-19
Predictively Turning Off A Charge Pump Supplying Voltage For Overdriving Gates Of The Power Switch Header In A Microprocessor With Power Gating
App 20150081123 - Bose; Pradip ;   et al.
2015-03-19
Accelerating Microprocessor Core Wake Up Via Charge From Capacitance Tank Without Introducing Noise On Power Grid Of Running Microprocessor Cores
App 20150082065 - Bose; Pradip ;   et al.
2015-03-19
Efficient Wakeup Of Power Gated Domains Through Charge Sharing And Recycling
App 20150076908 - Bose; Pradip ;   et al.
2015-03-19
Accelerating The Microprocessor Core Wakeup By Predictively Executing A Subset Of The Power-up Sequence
App 20150082070 - Bose; Pradip ;   et al.
2015-03-19
Accelerating The Microprocessor Core Wakeup By Predictively Executing A Subset Of The Power-up Sequence
App 20150082066 - Bose; Pradip ;   et al.
2015-03-19
Predictively Turning Off A Charge Pump Supplying Voltage For Overdriving Gates Of The Power Switch Header In A Microprocessor With Power Gating
App 20150081125 - Bose; Pradip ;   et al.
2015-03-19
Accelerating Microprocessor Core Wake Up Via Charge From Capacitance Tank Without Introducing Noise On Power Grid Of Running Microprocessor Cores
App 20150082069 - Bose; Pradip ;   et al.
2015-03-19
Contributor-based Power Modeling Of Microprocessor Components
App 20150006142 - DHANWADA; NAGASHYAMALA R. ;   et al.
2015-01-01
Semiconductor chip repair by stacking of a base semiconductor chip and a repair semiconductor chip
Grant 8,796,047 - Bose , et al. August 5, 2
2014-08-05
Semiconductor Chip Repair By Stacking Of A Base Semiconductor Chip And A Repair Semiconductor Chip
App 20140159803 - Bose; Pradip ;   et al.
2014-06-12
Semiconductor chip repair by stacking of a base semiconductor chip and a repair semiconductor chip
Grant 8,679,861 - Bose , et al. March 25, 2
2014-03-25
Measuring data switching activity in a microprocessor
Grant 8,458,501 - Bose , et al. June 4, 2
2013-06-04
Reduced Leakage Banked Wordline Header
App 20130128684 - Buettner; Stefan ;   et al.
2013-05-23
Measuring Data Switching Activity in a Microprocessor
App 20120030481 - Bose; Pradip ;   et al.
2012-02-02
Method and system for soft error recovery during processor execution
Grant 8,108,714 - Bose , et al. January 31, 2
2012-01-31
Method and system of peak power enforcement via autonomous token-based control and management
Grant 7,930,578 - Bose , et al. April 19, 2
2011-04-19
Method and apparatus for preventing soft error accumulation in register arrays
Grant 7,774,654 - Bose , et al. August 10, 2
2010-08-10
Semiconductor Chip Repair By Stacking Of A Base Semiconductor Chip And A Repair Semiconductor Chip
App 20100015732 - Bose; Pradip ;   et al.
2010-01-21
Method For Implementing Dynamic Lifetime Reliability Extension For Microprocessor Architectures
App 20090178051 - Zyuban; Victor ;   et al.
2009-07-09
Dynamic Redundancy For Microprocessor Components And Circuits Placed In Nonoperational Modes
App 20090177919 - Bose; Pradip ;   et al.
2009-07-09
Method And On-chip Control Apparatus For Enhancing Process Reliability And Process Variability Through 3d Integration
App 20090144678 - Bose; Pradip ;   et al.
2009-06-04
Method And Arrangement For Enhancing Process Variability And Lifetime Reliability Through 3d Integration
App 20090144669 - Bose; Pradip ;   et al.
2009-06-04
Method And System Of Peak Power Enforcement Via Autonomous Token-based Control And Management
App 20090089602 - Bose; Pradip ;   et al.
2009-04-02
System and method of execution of register pointer instructions ahead of instruction issues
Grant 7,496,733 - Altman , et al. February 24, 2
2009-02-24
Method and apparatus for preventing soft error accumulation in register arrays
Grant 7,493,523 - Bose , et al. February 17, 2
2009-02-17
Method for extending lifetime reliability of digital logic devices through removal of aging mechanisms
Grant 7,489,161 - Bose , et al. February 10, 2
2009-02-10
Method for extending lifetime reliability of digital logic devices through reversal of aging mechanisms
Grant 7,486,107 - Bose , et al. February 3, 2
2009-02-03
Predicting Microprocessor Lifetime Reliability Using Architecture-level Structure-aware Techniques
App 20090013207 - Bose; Pradip ;   et al.
2009-01-08
Method of predicting microprocessor lifetime reliability using architecture-level structure-aware techniques
Grant 7,472,038 - Bose , et al. December 30, 2
2008-12-30
Method And Apparatus For Preventing Soft Error Accumulation In Register Arrays
App 20080313509 - Bose; Pradip ;   et al.
2008-12-18
Transient cache storage with discard function for disposable data
Grant 7,461,209 - Altman , et al. December 2, 2
2008-12-02
Systems and methods for mutually exclusive activation of microprocessor resources to control maximum power
Grant 7,447,923 - Bose , et al. November 4, 2
2008-11-04
Method And System Of Predicting Microprocessor Lifetime
App 20080256383 - Bose; Pradip ;   et al.
2008-10-16
Method and system for soft error recovery during processor execution
App 20080229145 - Bose; Pradip ;   et al.
2008-09-18
Symbolic Execution of Instructions on In-Order Processors
App 20080168260 - Zyuban; Victor ;   et al.
2008-07-10
Method and apparatus for extending lifetime reliability of digital logic devices through reversal of aging mechanisms
Grant 7,391,232 - Bose , et al. June 24, 2
2008-06-24
Method and apparatus for extending lifetime reliability of digital logic devices through removal of aging mechanisms
Grant 7,391,233 - Bose , et al. June 24, 2
2008-06-24
System and method for implementing dynamic lifetime reliability extension for microprocessor architectures
Grant 7,386,851 - Zyuban , et al. June 10, 2
2008-06-10
System And Method Of Execution Of Register Pointer Instructions Ahead Of Instruction Issues
App 20080052495 - ALTMAN; ERIK ;   et al.
2008-02-28
System and method of execution of register pointer instructions ahead of instruction issue
Grant 7,325,124 - Altman , et al. January 29, 2
2008-01-29
Method and apparatus for preventing soft error accumulation in register arrays
App 20070220366 - Bose; Pradip ;   et al.
2007-09-20
Mechanism and method for two level adaptive trace prediction
App 20070162895 - Altman; Erik R. ;   et al.
2007-07-12
Transient cache storage
App 20070130237 - Altman; Erik R. ;   et al.
2007-06-07
Systems and methods for mutually exclusive activation of microprocessor resources to control maximum power
App 20070043960 - Bose; Pradip ;   et al.
2007-02-22
Method and apparatus for predictive scheduling of memory accesses based on reference locality
App 20060236036 - Gschwind; Michael Karl ;   et al.
2006-10-19
Selective bypassing of a multi-port register file
Grant 7,051,186 - Asaad , et al. May 23, 2
2006-05-23
System and method of execution of register pointer instructions ahead of instruction issue
App 20050251654 - Altman, Erik ;   et al.
2005-11-10
SIMD processor with concurrent operation of vector pointer datapath and vector computation datapath
Grant 6,915,411 - Moreno , et al. July 5, 2
2005-07-05
selective bypassing of a multi-port register file
App 20040044882 - Asaad, Sameh ;   et al.
2004-03-04
Digital signal processor with SIMD organization and flexible data manipulation
App 20040015677 - Moreno, Jaime H. ;   et al.
2004-01-22

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed