loadpatents
name:-0.068943977355957
name:-0.041381120681763
name:-0.0068240165710449
Yim; Kang Sub Patent Filings

Yim; Kang Sub

Patent Applications and Registrations

Patent applications and USPTO patent grants for Yim; Kang Sub.The latest application filed is for "systems and methods for forming uv-cured low-k dielectric films".

Company Profile
6.39.54
  • Yim; Kang Sub - Palo Alto CA
  • Yim; Kang Sub - Santa Clara CA
  • Yim; Kang Sub - Mountain View CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Low-k dielectric films
Grant 11,393,678 - Durand , et al. July 19, 2
2022-07-19
Systems And Methods For Forming Uv-cured Low-k Dielectric Films
App 20220108884 - Xie; Bo ;   et al.
2022-04-07
Low-k dielectric with self-forming barrier layer
Grant 11,289,369 - Ding , et al. March 29, 2
2022-03-29
Systems And Methods For Depositing Low-k Dielectric Films
App 20220084815 - Xie; Bo ;   et al.
2022-03-17
Systems And Methods For Cleaning Low-k Deposition Chambers
App 20220081765 - Xie; Bo ;   et al.
2022-03-17
Systems And Methods For Depositing Low-k Dielectric Films
App 20210272800 - Mukherjee; Shaunak ;   et al.
2021-09-02
Low-K Dielectric Films
App 20210050212 - Durand; William J. ;   et al.
2021-02-18
Low-K Dielectric With Self-Forming Barrier Layer
App 20200388532 - Ding; Yi ;   et al.
2020-12-10
Low Dielectric Constant Oxide And Low Resistance Op Stack For 3d Nand Application
App 20200126784 - HAN; Xinhai ;   et al.
2020-04-23
Non-uv High Hardness Low K Film Deposition
App 20200075321 - MUKHERJEE; Shaunak ;   et al.
2020-03-05
Low dielectric constant oxide and low resistance OP stack for 3D NAND application
Grant 10,553,427 - Han , et al. Fe
2020-02-04
Low Dielectric Constant Oxide And Low Resistance Op Stack For 3d Nand Application
App 20180315592 - HAN; Xinhai ;   et al.
2018-11-01
UV assisted silylation for porous low-k film sealing
Grant 10,113,234 - Xie , et al. October 30, 2
2018-10-30
Forming a low-k dielectric layer with reduced dielectric constant and strengthened mechanical properties
Grant 9,850,574 - Kim , et al. December 26, 2
2017-12-26
Enhancement of modulus and hardness for UV-cured ultra low-k dielectric films
Grant 9,659,765 - Yim , et al. May 23, 2
2017-05-23
Low Temp Single Precursor Arc Hard Mask For Multilayer Patterning Application
App 20170125241 - MUKHERJEE; Shaunak ;   et al.
2017-05-04
Cobalt selectivity improvement in selective cobalt process sequence
Grant 9,478,460 - Shek , et al. October 25, 2
2016-10-25
Multi-layer dielectric stack for plasma damage protection
Grant 9,391,024 - Xie , et al. July 12, 2
2016-07-12
Cobalt Selectivity Improvement In Selective Cobalt Process Sequence
App 20160141203 - SHEK; MEI-YEE ;   et al.
2016-05-19
Post treatment for dielectric constant reduction with pore generation on low K dielectric films
Grant 9,324,571 - Yim , et al. April 26, 2
2016-04-26
Multi-layer Dielectric Stack For Plasma Damage Protection
App 20160111373 - XIE; Bo ;   et al.
2016-04-21
Air-gap structure formation with ultra low-k dielectric layer on PECVD low-k chamber
Grant 9,312,167 - Kim , et al. April 12, 2
2016-04-12
Air-gap Structure Formation With Ultra Low-k Dielectric Layer On Pecvd Low-k Chamber
App 20160099167 - KIM; Taewan ;   et al.
2016-04-07
Uv Assisted Silylation For Porous Low-k Film Sealing
App 20160017492 - XIE; Bo ;   et al.
2016-01-21
Enhancement Of Modulus And Hardness For Uv-cured Ultra Low-k Dielectric Films
App 20160020090 - YIM; Kang Sub ;   et al.
2016-01-21
Plasma-enhanced And Radical-based Cvd Of Porous Carbon-doped Oxide Films Assisted By Radical Curing
App 20160017495 - CHEN; Yihong ;   et al.
2016-01-21
Post Treatment For Dielectric Constant Reduction With Pore Generation On Low K Dielectric Films
App 20150380265 - YIM; Kang Sub ;   et al.
2015-12-31
Uv Curing Process To Improve Mechanical Strength And Throughput On Low-k Dielectric Films
App 20150368803 - YIM; Kang Sub ;   et al.
2015-12-24
Adhesion layer to minimize dielectric constant increase with good adhesion strength in a PECVD process
Grant 9,165,998 - Yim , et al. October 20, 2
2015-10-20
Low-k Films With Enhanced Crosslinking By Uv Curing
App 20150284849 - YIM; Kang Sub ;   et al.
2015-10-08
Low-k Dielectric Layer With Reduced Dielectric Constant And Strengthened Mechanical Properties
App 20150232992 - KIM; Taewan ;   et al.
2015-08-20
Cobalt selectivity improvement in selective cobalt process sequence
Grant 9,105,695 - Shek , et al. August 11, 2
2015-08-11
Method to reduce dielectric constant of a porous low-k film
Grant 8,993,444 - Chan , et al. March 31, 2
2015-03-31
Cobalt Selectivity Improvement In Selective Cobalt Process Sequence
App 20140349480 - SHEK; Mei-yee ;   et al.
2014-11-27
Adhesion Layer To Minimize Dielectric Constant Increase With Good Adhesion Strength In A Pecvd Process
App 20140264780 - YIM; Kang Sub ;   et al.
2014-09-18
Method To Reduce Dielectric Constant Of A Porous Low-k Film
App 20140017895 - CHAN; Kelvin ;   et al.
2014-01-16
UV assisted silylation for recovery and pore sealing of damaged low K films
Grant 8,492,170 - Xie , et al. July 23, 2
2013-07-23
Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
Grant 8,481,422 - Chan , et al. July 9, 2
2013-07-09
Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
Grant 8,349,746 - Xie , et al. January 8, 2
2013-01-08
Uv Assisted Silylation For Recovery And Pore Sealing Of Damaged Low K Films
App 20120270339 - Xie; Bo ;   et al.
2012-10-25
Prevention And Reduction Of Solvent And Solution Penetration Into Porous Dielectrics Using A Thin Barrier Layer
App 20120208366 - Chan; Kelvin ;   et al.
2012-08-16
Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
Grant 8,236,684 - Chan , et al. August 7, 2
2012-08-07
Dielectric recovery of plasma damaged low-k films by UV-assisted photochemical deposition
Grant 8,216,861 - Yim , et al. July 10, 2
2012-07-10
In-situ Low-k Capping To Improve Integration Damage Resistance
App 20120156890 - YIM; KANG SUB ;   et al.
2012-06-21
Process For Lowering Adhesion Layer Thickness And Improving Damage Resistance For Thin Ultra Low-k Dielectric Film
App 20120121823 - CHHABRA; MAHENDRA ;   et al.
2012-05-17
Ultra Low Dielectric Materials Using Hybrid Precursors Containing Silicon With Organic Functional Groups By Plasma-enhanced Chemical Vapor Deposition
App 20110206857 - Yim; Kang Sub ;   et al.
2011-08-25
Microelectronic structure including a low K dielectric and a method of controlling carbon distribution in the structure
App 20110204492 - Xie; Bo ;   et al.
2011-08-25
Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
Grant 7,998,536 - Yim , et al. August 16, 2
2011-08-16
Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
Grant 7,989,033 - Yim , et al. August 2, 2
2011-08-02
Methods And Apparatus Of Creating Airgap In Dielectric Layers For The Reduction Of Rc Delay
App 20110104891 - AL-BAYATI; AMIR ;   et al.
2011-05-05
Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
Grant 7,879,683 - Al-Bayati , et al. February 1, 2
2011-02-01
Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD)
Grant 7,745,328 - Yim , et al. June 29, 2
2010-06-29
Methods To Promote Adhesion Between Barrier Layer And Porous Low-k Film Deposited From Multiple Liquid Precursors
App 20100015816 - Chan; Kelvin ;   et al.
2010-01-21
STRUCTURE AND METHOD FOR SiCOH INTERFACES WITH INCREASED MECHANICAL STRENGTH
App 20100009161 - Edelstein; Daniel C. ;   et al.
2010-01-14
Prevention And Reduction Of Solvent And Solution Penetration Into Porous Dielectrics Using A Thin Barrier Layer
App 20090325381 - CHAN; KELVIN ;   et al.
2009-12-31
Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
Grant 7,615,482 - Edelstein , et al. November 10, 2
2009-11-10
Multi-stage curing of low K nano-porous films
Grant 7,611,996 - Schmitt , et al. November 3, 2
2009-11-03
Methods And Apparatus Of Creating Airgap In Dielectric Layers For The Reduction Of Rc Delay
App 20090093112 - AL-BAYATI; AMIR ;   et al.
2009-04-09
Low Dielectric (low K) Barrier Films With Oxygen Doping By Plasma-enhanced Chemical Vapor Deposition (pecvd)
App 20090053902 - Yim; Kang Sub ;   et al.
2009-02-26
NOVEL SILICON PRECURSORS TO MAKE ULTRA LOW-K FILMS OF K<2.2 WITH HIGH MECHANICAL PROPERTIES BY PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION
App 20090017639 - Yim; Kang Sub ;   et al.
2009-01-15
Novel Silicon Precursors To Make Ultra Low-k Films With High Mechanical Properties By Plasma Enhanced Chemical Vapor Deposition
App 20090017231 - Yim; Kang Sub ;   et al.
2009-01-15
Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD)
Grant 7,465,659 - Yim , et al. December 16, 2
2008-12-16
STRUCTURE AND METHOD FOR SiCOH INTERFACES WITH INCREASED MECHANICAL STRENGTH
App 20080233366 - Edelstein; Daniel C. ;   et al.
2008-09-25
Method for forming ultra low k films using electron beam
Grant 7,422,774 - Zheng , et al. September 9, 2
2008-09-09
Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
Grant 7,422,776 - Yim , et al. September 9, 2
2008-09-09
Hermetic cap layers formed on low-.kappa. films by plasma enhanced chemical vapor deposition
Grant 7,399,364 - Nguyen , et al. July 15, 2
2008-07-15
Multi-stage Curing Of Low K Nano-porous Films
App 20080099920 - Schmitt; Francimar ;   et al.
2008-05-01
Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
Grant 7,297,376 - Yim , et al. November 20, 2
2007-11-20
Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition
Grant 7,285,503 - Nguyen , et al. October 23, 2
2007-10-23
Enhancement of remote plasma source clean for dielectric films
App 20070207275 - Nowak; Thomas ;   et al.
2007-09-06
Method for producing gate stack sidewall spacers
Grant 7,253,123 - Arghavani , et al. August 7, 2
2007-08-07
Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD)
Grant 7,157,384 - Yim , et al. January 2, 2
2007-01-02
New Low Dielectric (low K) Barrier Films With Oxygen Doping By Plasma-enhanced Chemical Vapor Deposition (pecvd)
App 20060246737 - Yim; Kang Sub ;   et al.
2006-11-02
Hermetic Cap Layers Formed On Low-k Films By Plasma Enhanced Chemical Vapor Deposition
App 20060219174 - Nguyen; Vu Ngoc Tran ;   et al.
2006-10-05
Method for producing gate stack sidewall spacers
App 20060154493 - Arghavani; Reza ;   et al.
2006-07-13
Method and apparatus for deposition of low dielectric constant materials
App 20060144334 - Yim; Kang Sub ;   et al.
2006-07-06
Method for forming ultra low k films using electron beam
Grant 7,060,330 - Zheng , et al. June 13, 2
2006-06-13
Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
Grant 7,056,560 - Yim , et al. June 6, 2
2006-06-06
Method and apparatus for deposition of low dielectric constant materials
Grant 7,008,484 - Yim , et al. March 7, 2
2006-03-07
Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
App 20060043591 - Yim; Kang Sub ;   et al.
2006-03-02
Ultra low k plasma CVD nanotube/spin-on dielectrics with improved properties for advanced nanoelectronic device fabrication
Grant 6,984,579 - Nguyen , et al. January 10, 2
2006-01-10
Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition
App 20050282404 - Nguyen, Vu Ngoc Tran ;   et al.
2005-12-22
Multi-stage curing of low K nano-porous films
App 20050230834 - Schmitt, Francimar ;   et al.
2005-10-20
Method for forming ultra low k films using electron beam
App 20050153073 - Zheng, Yi ;   et al.
2005-07-14
Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD)
App 20050130440 - Yim, Kang Sub ;   et al.
2005-06-16
Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
Grant 6,838,393 - Yim , et al. January 4, 2
2005-01-04
Ultra low k plasma CVD nanotube/spin-on dielectrics with improved properties for advanced nanoelectronic device fabrication
App 20040169281 - Nguyen, Son Van ;   et al.
2004-09-02
Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
App 20040156987 - Yim, Kang Sub ;   et al.
2004-08-12
Method for forming ultra low k films using electron beam
App 20040101633 - Zheng, Yi ;   et al.
2004-05-27
Method and apparatus for deposition of low dielectric constant materials
App 20030207033 - Yim, Kang Sub ;   et al.
2003-11-06
Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (pecvd)
App 20030139035 - Yim, Kang Sub ;   et al.
2003-07-24

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed