loadpatents
name:-0.11138486862183
name:-0.072216987609863
name:-0.13613295555115
Yieh; Ellie Y. Patent Filings

Yieh; Ellie Y.

Patent Applications and Registrations

Patent applications and USPTO patent grants for Yieh; Ellie Y..The latest application filed is for "methods for graphene formation".

Company Profile
29.66.101
  • Yieh; Ellie Y. - San Jose CA
  • YIEH; Ellie Y. - Sunnyvale CA
  • Yieh; Ellie Y. - Santa Clara CA
  • Yieh; Ellie Y - San Jose CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Lithography process window enhancement for photoresist patterning
Grant 11,429,026 - Dai , et al. August 30, 2
2022-08-30
Methods For Graphene Formation
App 20220172948 - Zhou; Jie ;   et al.
2022-06-02
Substrate vacuum transport and storage apparatus
Grant 11,302,549 - Thirunavukarasu , et al. April 12, 2
2022-04-12
Methods for graphene formation using microwave surface-wave plasma on dielectric materials
Grant 11,289,331 - Zhou , et al. March 29, 2
2022-03-29
Film Structure For Electric Field Assisted Bake Process
App 20220091513 - BANGAR; Mangesh Ashok ;   et al.
2022-03-24
Vertical transistor fabrication for memory applications
Grant 11,264,460 - Kumar , et al. March 1, 2
2022-03-01
Methods For Depositing Dielectric Material
App 20210384040 - CITLA; Bhargav S. ;   et al.
2021-12-09
Methods for etching a structure for MRAM applications
Grant 11,145,808 - Kim , et al. October 12, 2
2021-10-12
Additive Patterning Of Semiconductor Film Stacks
App 20210305501 - DUKOVIC; John O. ;   et al.
2021-09-30
Lithography Process Window Enhancement For Photoresist Patterning
App 20210294216 - Dai; Huixiong ;   et al.
2021-09-23
Photoresist Patterning Process
App 20210294215 - DAI; Huixiong ;   et al.
2021-09-23
Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
Grant 11,114,333 - Nemani , et al. September 7, 2
2021-09-07
Methods for depositing dielectric material
Grant 11,114,306 - Citla , et al. September 7, 2
2021-09-07
High Pressure Annealing Process For Metal Containing Materials
App 20210257221 - SINGH; Kaushal K. ;   et al.
2021-08-19
Magnetic Memory And Method Of Fabrication
App 20210234091 - Kim; Jong Mun ;   et al.
2021-07-29
Methods And Apparatus For Carbon Compound Film Deposition
App 20210217585 - LIANG; Qiwei ;   et al.
2021-07-15
Additive patterning of semiconductor film stacks
Grant 11,049,537 - Dukovic , et al. June 29, 2
2021-06-29
Silicon Carbonitride Gapfill With Tunable Carbon Content
App 20210189555 - Shek; Mei-Yee ;   et al.
2021-06-24
Methods for etching a structure for MRAM Applications
App 20210143323 - KIM; Jong Mun ;   et al.
2021-05-13
High pressure annealing process for metal containing materials
Grant 10,998,200 - Singh , et al. May 4, 2
2021-05-04
Lithography Simulation And Optical Proximity Correction
App 20210088896 - DAI; Huixiong ;   et al.
2021-03-25
Process Control Of Electric Field Guided Photoresist Baking Process
App 20210041785 - DAI; Huixiong ;   et al.
2021-02-11
Formation of crystalline, layered transition metal dichalcogenides
Grant 10,916,426 - Wong , et al. February 9, 2
2021-02-09
Additive Patterning Of Semiconductor Film Stacks
App 20210035619 - DUKOVIC; John O. ;   et al.
2021-02-04
Vertical Transistor Fabrication For Memory Applications
App 20210028282 - KUMAR; Arvind ;   et al.
2021-01-28
High pressure treatment of silicon nitride film
Grant 10,847,360 - Wong , et al. November 24, 2
2020-11-24
Gas Delivery System For High Pressure Processing Chamber
App 20200350183 - LIANG; Qiwei ;   et al.
2020-11-05
Directional treatment for multi-dimensional device processing
Grant 10,825,665 - Godet , et al. November 3, 2
2020-11-03
Silicon nitride films with high nitrogen content
Grant 10,811,250 - Basu , et al. October 20, 2
2020-10-20
Film Structure For Electric Field Guided Photoresist Patterning Process
App 20200233307 - DAI; Huixiong ;   et al.
2020-07-23
Gas delivery system for high pressure processing chamber
Grant 10,720,341 - Liang , et al.
2020-07-21
Method to fabricate thermally stable low K-FinFET spacer
Grant 10,714,331 - Balseanu , et al.
2020-07-14
Cluster processing system for forming a transition metal material
App 20200161176 - WONG; Keith Tatseun ;   et al.
2020-05-21
Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
Grant 10,636,704 - Mebarki , et al.
2020-04-28
Tungsten defluorination by high pressure treatment
Grant 10,622,214 - Wong , et al.
2020-04-14
Methods For Graphene Formation
App 20200105525 - Zhou; Jie ;   et al.
2020-04-02
Tungsten Defluorination By High Pressure Treatment
App 20200098574 - WONG; Keith Tatseun ;   et al.
2020-03-26
Methods For Depositing Dielectric Material
App 20200090946 - CITLA; Bhargav S. ;   et al.
2020-03-19
Gas control in process chamber
Grant 10,590,530 - Liang , et al.
2020-03-17
Selective deposition of metal silicides
Grant 10,586,707 - Hung , et al.
2020-03-10
Method to improve film quality for PVD carbon with reactive gas and bias power
Grant 10,570,506 - Citla , et al. Feb
2020-02-25
Method to improve film stability
Grant 10,566,188 - Clemons , et al. Feb
2020-02-18
Multi-cassette carrying case
Grant 10,566,226 - Thirunavukarasu , et al. Feb
2020-02-18
Formation Of Crystalline, Layered Transition Metal Dichalcogenides
App 20190362971 - WONG; Keith Tatseun ;   et al.
2019-11-28
Methods Of Patterning A Wafer Substrate
App 20190362965 - WONG; Keith Tatseun ;   et al.
2019-11-28
Method To Improve Film Stability
App 20190355579 - CLEMONS; Maximillian ;   et al.
2019-11-21
Multi-cassette Carrying Case
App 20190326147 - THIRUNAVUKARASU; SRISKANTHARAJAH ;   et al.
2019-10-24
Substrate Transfer Chamber
App 20190326146 - THIRUNAVUKARASU; SRISKANTHARAJAH ;   et al.
2019-10-24
Method To Fabricate Thermally Stable Low K-finfet Spacer
App 20190311896 - BALSEANU; Mihaela ;   et al.
2019-10-10
Hybrid laser and implant treatment for overlay error correction
Grant 10,429,747 - Bangar , et al. O
2019-10-01
High Pressure Annealing Process For Metal Containing Materials
App 20190279879 - SINGH; Kaushal K. ;   et al.
2019-09-12
Method For Depositing And Reflow Of A High Quality Etch Resistant Gapfill Dielectric Film
App 20190259625 - NEMANI; Srinivas D. ;   et al.
2019-08-22
Method For Processing A Mask Substrate To Enable Better Film Quality
App 20190258153 - NEMANI; Srinivas D. ;   et al.
2019-08-22
Substrate transfer chamber
Grant 10,347,516 - Thirunavukarasu , et al. July 9, 2
2019-07-09
Gas Control In Process Chamber
App 20190194805 - LIANG; Qiwei ;   et al.
2019-06-27
Low-temperature atomic layer deposition of boron nitride and BN structures
Grant 10,297,441 - Wolf , et al.
2019-05-21
Gas Delivery System For High Pressure Processing Chamber
App 20190148178 - LIANG; Qiwei ;   et al.
2019-05-16
Methods for fabricating nanowire for semiconductor applications
Grant 10,269,571 - Wong , et al.
2019-04-23
Selective Deposition Of Metal Silicides
App 20190103278 - HUNG; Raymond ;   et al.
2019-04-04
Gas control in process chamber
Grant 10,240,232 - Liang , et al.
2019-03-26
Seam-healing Method Upon Supra-atmospheric Process In Diffusion Promoting Ambient
App 20190051557 - MEBARKI; Bencherki ;   et al.
2019-02-14
Methods For Fabricating Nanowire For Semiconductor Applications
App 20190019681 - WONG; Keith Tatseun ;   et al.
2019-01-17
Silicon Nitride Films With High Nitrogen Content
App 20190013197 - Basu; Atashi ;   et al.
2019-01-10
Methods and apparatus for transferring a substrate
Grant 10,153,187 - Thirunavukarasu , et al. Dec
2018-12-11
Tungsten Defluorination By High Pressure Treatment
App 20180342396 - Wong; Keith Tatseun ;   et al.
2018-11-29
High Pressure Treatment Of Silicon Nitride Film
App 20180342384 - Wong; Keith Tatseun ;   et al.
2018-11-29
Methods for forming fin structures with desired profile for 3D structure semiconductor applications
Grant 10,128,337 - Zhou , et al. November 13, 2
2018-11-13
Gapfill film modification for advanced CMP and recess flow
Grant 10,096,512 - Chen , et al. October 9, 2
2018-10-09
Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
Grant 10,049,927 - Mebarki , et al. August 14, 2
2018-08-14
Method To Improve Film Quality For Pvd Carbon With Reactive Gas And Bias Power
App 20180209037 - CITLA; Bhargav ;   et al.
2018-07-26
Hybrid Laser And Implant Treatment For Overlay Error Correction
App 20180136569 - BANGAR; Mangesh ;   et al.
2018-05-17
Stress Balanced Electrostatic Substrate Carrier With Contacts
App 20180122679 - Roy; Shambhu N. ;   et al.
2018-05-03
Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
Grant 9,911,594 - Nemani , et al. March 6, 2
2018-03-06
Processed Wafer As Top Plate Of A Workpiece Carrier In Semiconductor And Mechanical Processing
App 20180025931 - Nemani; Srinivas D. ;   et al.
2018-01-25
Silicide phase control by confinement
Grant 9,865,466 - Mebarki , et al. January 9, 2
2018-01-09
Single platform, multiple cycle spacer deposition and etch
Grant 9,852,916 - Chen , et al. December 26, 2
2017-12-26
Seam-healing Method Upon Supra-atmospheric Process In Diffusion Promoting Ambient
App 20170358490 - MEBARKI; Bencherki ;   et al.
2017-12-14
Methods For Forming Fin Structures With Desired Profile For 3d Structure Semiconductor Applications
App 20170352726 - ZHOU; Jie ;   et al.
2017-12-07
Roll to roll wafer backside particle and contamination removal
Grant 9,815,091 - Ngai , et al. November 14, 2
2017-11-14
Integrated Metrology And Process Tool To Enable Local Stress/overlay Correction
App 20170287752 - GODET; Ludovic ;   et al.
2017-10-05
Advanced process flow for high quality FCVD films
Grant 9,777,378 - Nemani , et al. October 3, 2
2017-10-03
3D material modification for advanced processing
Grant 9,773,675 - Godet , et al. September 26, 2
2017-09-26
Selective deposition utilizing masks and directional plasma treatment
Grant 9,754,791 - Godet , et al. September 5, 2
2017-09-05
Localized stress modulation for overlay and EPE
Grant 9,748,148 - Yieh , et al. August 29, 2
2017-08-29
Additive Manufacturing With Laser And Plasma
App 20170203364 - Ramaswamy; Kartik ;   et al.
2017-07-20
Additive Manufacturing With Laser And Gas Flow
App 20170182556 - Ramaswamy; Kartik ;   et al.
2017-06-29
3d Material Modification For Advanced Processing
App 20170154776 - GODET; Ludovic ;   et al.
2017-06-01
Gapfill Film Modification For Advanced Cmp And Recess Flow
App 20170117157 - CHEN; Erica ;   et al.
2017-04-27
3D material modification for advanced processing
Grant 9,620,407 - Godet , et al. April 11, 2
2017-04-11
Silicide Phase Control By Confinement
App 20170092502 - MEBARKI; Bencherki ;   et al.
2017-03-30
Air gap formation in interconnection structure by implantation process
Grant 9,595,467 - Xue , et al. March 14, 2
2017-03-14
Selective Atomic Layer Deposition Process Utilizing Patterned Self Assembled Monolayers For 3d Structure Semiconductor Applications
App 20170053797 - NEMANI; Srinivas D. ;   et al.
2017-02-23
Gas Control In Process Chamber
App 20160369395 - LIANG; Qiwei ;   et al.
2016-12-22
Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
Grant 9,515,166 - Nemani , et al. December 6, 2
2016-12-06
Methods for reducing semiconductor substrate strain variation
Grant 9,484,274 - Bencher , et al. November 1, 2
2016-11-01
Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
Grant 9,484,202 - Zhou , et al. November 1, 2
2016-11-01
Single Platform, Multiple Cycle Spacer Deposition And Etch
App 20160307768 - CHEN; Hao ;   et al.
2016-10-20
Selective Deposition Utilizing Masks And Directional Plasma Treatment
App 20160233100 - GODET; Ludovic ;   et al.
2016-08-11
Development of high etch selective hardmask material by ion implantation into amorphous carbon films
Grant 9,412,613 - Manna , et al. August 9, 2
2016-08-09
Single platform, multiple cycle spacer deposition and etch
Grant 9,406,522 - Chen , et al. August 2, 2
2016-08-02
Advanced Process Flow For High Quality Fcvd Films
App 20160194758 - NEMANI; Srinivas D. ;   et al.
2016-07-07
Method and apparatus for selective deposition
Grant 9,385,219 - Yieh , et al. July 5, 2
2016-07-05
Method to reduce K value of dielectric layer for advanced FinFET formation
Grant 9,379,021 - Yieh , et al. June 28, 2
2016-06-28
3d Material Modification For Advanced Processing
App 20160163546 - GODET; Ludovic ;   et al.
2016-06-09
Air Gap Formation In Interconnection Structure By Implantation Process
App 20160141202 - XUE; Jun ;   et al.
2016-05-19
Multi-cassette Carrying Case
App 20160133491 - THIRUNAVUKARASU; SRISKANTHARAJAH ;   et al.
2016-05-12
Substrate Transfer Chamber
App 20160133494 - THIRUNAVUKARASU; SRISKANTHARAJAH ;   et al.
2016-05-12
Methods And Apparatus For Transferring A Substrate
App 20160133490 - THIRUNAVUKARASU; SRISKANTHARAJAH ;   et al.
2016-05-12
Flowable Film Properties Tuning Using Implantation
App 20160079034 - Yieh; Ellie Y. ;   et al.
2016-03-17
Single Platform, Multiple Cycle Spacer Deposition And Etch
App 20160027655 - Chen; Hao ;   et al.
2016-01-28
Localized Stress Modulation For Overlay And Epe
App 20160005662 - YIEH; Ellie Y. ;   et al.
2016-01-07
Method And Apparatus For Selective Deposition
App 20160005839 - YIEH; Ellie Y. ;   et al.
2016-01-07
Methods For Forming Fin Structures With Desired Dimensions For 3d Structure Semiconductor Applications
App 20150380526 - GODET; Ludovic ;   et al.
2015-12-31
Methods For Reducing Semiconductor Substrate Strain Variation
App 20150371908 - BENCHER; Christopher Dennis ;   et al.
2015-12-24
Roll To Roll Wafer Backside Particle And Contamination Removal
App 20150371879 - NGAI; Christopher S. ;   et al.
2015-12-24
Directional Treatment For Multi-dimensional Device Processing
App 20150325411 - GODET; Ludovic ;   et al.
2015-11-12
Selective Atomic Layer Deposition Process Utilizing Patterned Self Assembled Monolayers For 3d Structure Semiconductor Applications
App 20150294863 - NEMANI; Srinivas D. ;   et al.
2015-10-15
Grazing Angle Plasma Processing For Modifying A Substrate Surface
App 20150255243 - GODET; Ludovic ;   et al.
2015-09-10
Development Of High Etch Selective Hardmask Material By Ion Implantation Into Amorphous Carbon Films
App 20150194317 - MANNA; PRAMIT ;   et al.
2015-07-09
Method To Reduce K Value Of Dielectric Layer For Advanced Finfet Formation
App 20150099360 - YIEH; Ellie Y. ;   et al.
2015-04-09
Multiple Chamber Module And Platform In Semiconductor Process Equipment
App 20140261168 - Liang; Qiwei ;   et al.
2014-09-18
Methods For Improving Etching Resistance For An Amorphous Carbon Film
App 20140263173 - ROSSLEE; Craig ;   et al.
2014-09-18
Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
Grant 8,153,348 - Nemani , et al. April 10, 2
2012-04-10
Method for fabricating a semiconductor device having a lanthanum-family-based oxide layer
Grant 8,101,525 - Shen , et al. January 24, 2
2012-01-24
Method and apparatus for excimer curing
Grant 8,022,377 - Lubomirsky , et al. September 20, 2
2011-09-20
Remote plasma clean process with cycled high and low pressure clean steps
Grant 7,967,913 - Hua , et al. June 28, 2
2011-06-28
Apparatus And Method For Low-k Dielectric Repair
App 20110151590 - Carducci; James D. ;   et al.
2011-06-23
Methods for forming a silicon oxide layer over a substrate
Grant 7,943,531 - Nemani , et al. May 17, 2
2011-05-17
Thermal management of inductively coupled plasma reactors
Grant 7,811,411 - Lu , et al. October 12, 2
2010-10-12
Internal balanced coil for inductively coupled high density plasma processing chamber
Grant 7,789,993 - Chen , et al. September 7, 2
2010-09-07
Method For Fabricating A Semiconductor Device Having A Lanthanum-family-based Oxide Layer
App 20100210112 - Shen; Meihua ;   et al.
2010-08-19
Dual top gas feed through distributor for high density plasma chamber
Grant 7,758,698 - Bang , et al. July 20, 2
2010-07-20
Remote Plasma Clean Process With Cycled High And Low Pressure Clean Steps
App 20100095979 - Hua; Zhong Qiang ;   et al.
2010-04-22
Two-piece dome with separate RF coils for inductively coupled plasma reactors
Grant 7,651,587 - Lu , et al. January 26, 2
2010-01-26
Method And Apparatus For Excimer Curing
App 20090261276 - LUBOMIRSKY; Dmitry ;   et al.
2009-10-22
Process Sequence For Formation Of Patterned Hard Mask Film (rfp) Without Need For Photoresist Or Dry Etch
App 20090208880 - NEMANI; SRINIVAS D. ;   et al.
2009-08-20
Internal balanced coil for inductively coupled high density plasma processing chamber
Grant 7,572,647 - Chen , et al. August 11, 2
2009-08-11
Method and system for improving dielectric film quality for void free gap fill
Grant 7,541,297 - Mallick , et al. June 2, 2
2009-06-02
Counter-balanced Substrate Support
App 20090120584 - Lubomirsky; Dmitry ;   et al.
2009-05-14
Method of inducing stresses in the channel region of a transistor
Grant 7,528,051 - Arghavani , et al. May 5, 2
2009-05-05
Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
Grant 7,524,750 - Nemani , et al. April 28, 2
2009-04-28
Method And System For Improving Dielectric Film Quality For Void Free Gap Fill
App 20090104789 - Mallick; Abhijit Basu ;   et al.
2009-04-23
Methods for Forming a Silicon Oxide Layer Over a Substrate
App 20090104791 - Nemani; Srinivas D. ;   et al.
2009-04-23
Dual Top Gas Feed Through Distributor for High Density Plasma Chamber
App 20090042407 - Bang; Won B. ;   et al.
2009-02-12
Vacuum Chucking Heater Of Axisymmetrical And Uniform Thermal Profile
App 20090031955 - Lu; Siqing ;   et al.
2009-02-05
Internal Balanced Coil For Inductively Coupled High Density Plasma Processing Chamber
App 20080188090 - Chen; Robert ;   et al.
2008-08-07
Internal Balanced Coil For Inductively Coupled High Density Plasma Processing Chamber
App 20080188087 - CHEN; ROBERT ;   et al.
2008-08-07
Internal Balanced Coil For Inductively Coupled High Density Plasma Processing Chamber
App 20080185284 - Chen; Robert ;   et al.
2008-08-07
Multi-step Dep-etch-dep High Density Plasma Chemical Vapor Deposition Processes For Dielectric Gapfills
App 20080142483 - Hua; Zhong Qiang ;   et al.
2008-06-19
Dual Top Gas Feed Through Distributor For High Density Plasma Chamber
App 20080121177 - Bang; Won B. ;   et al.
2008-05-29
Dual Top Gas Feed Through Distributor For High Density Plasma Chamber
App 20080121178 - Bang; Won B. ;   et al.
2008-05-29
Integrated Process Modulation (ipm) A Novel Solution For Gapfill With Hdp-cvd
App 20070243693 - Nemani; Srinivas D. ;   et al.
2007-10-18
Low stress STI films and methods
Grant 7,244,658 - Yieh , et al. July 17, 2
2007-07-17
Thermal management of inductively coupled plasma reactors
App 20070034153 - Lu; Siqing ;   et al.
2007-02-15
Two-piece dome with separate RF coils for inductively coupled plasma reactors
App 20070037397 - Lu; Siqing ;   et al.
2007-02-15
Dielectric materials to prevent photoresist poisoning
Grant 7,115,534 - Nguyen , et al. October 3, 2
2006-10-03
Inductive plasma system with sidewall magnet
App 20060177600 - Lu; Siqing ;   et al.
2006-08-10
Low-thermal-budget gapfill process
Grant 7,087,497 - Yuan , et al. August 8, 2
2006-08-08
Gap filling with a composite layer
Grant 7,033,945 - Byun , et al. April 25, 2
2006-04-25
Magnetic-field concentration in inductively coupled plasma reactors
App 20060075967 - Lu; Siqing ;   et al.
2006-04-13
Gap filling with a composite layer
App 20050277257 - Byun, Jeong Soo ;   et al.
2005-12-15
Method of inducing stresses in the channel region of a transistor
App 20050255667 - Arghavani, Reza ;   et al.
2005-11-17
Low-thermal-budget gapfill process
App 20050196929 - Yuan, Zheng ;   et al.
2005-09-08
Integration scheme using self-planarized dielectric layer for shallow trench isolation (STI)
Grant 6,875,558 - Gaillard , et al. April 5, 2
2005-04-05
Dielectric materials to prevent photoresist poisoning
App 20050014361 - Nguyen, Son Van ;   et al.
2005-01-20
Method for curing low dielectric constant film by electron beam
App 20040101632 - Zhu, Wen H. ;   et al.
2004-05-27
Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
App 20030211244 - Li, Lihua ;   et al.
2003-11-13

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed