loadpatents
name:-0.11105394363403
name:-0.10001087188721
name:-0.010644912719727
YIEH; Ellie Patent Filings

YIEH; Ellie

Patent Applications and Registrations

Patent applications and USPTO patent grants for YIEH; Ellie.The latest application filed is for "integrated platform for tin pvd and high-k ald for beol mim capacitor".

Company Profile
8.82.80
  • YIEH; Ellie - San Jose CA
  • Yieh; Ellie - Sunnyvale CA
  • Yieh; Ellie - Millbrae CA
  • Yieh, Ellie - Milbrae CA
  • Yieh; Ellie - Santa Clara CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Integrated Platform For Tin Pvd And High-k Ald For Beol Mim Capacitor
App 20220310776 - WONG; Keith Tatseun ;   et al.
2022-09-29
Methods And Apparatus For Processing A Substrate
App 20220298636 - ASRANI; Soham Sunjay ;   et al.
2022-09-22
Methods And Apparatus For Processing A Substrate
App 20220301867 - TANNOS; Jethro ;   et al.
2022-09-22
Process chamber for etching low k and other dielectric films
Grant 11,410,860 - Lubomirsky , et al. August 9, 2
2022-08-09
Methods And Apparatus For Processing A Substrate
App 20220230887 - SHEK; Meiyee ;   et al.
2022-07-21
Multi-source ion beam etch system
Grant 11,387,071 - Liang , et al. July 12, 2
2022-07-12
Methods and apparatuses for forming interconnection structures
Grant 11,205,589 - Ren , et al. December 21, 2
2021-12-21
Process Chamber For Etching Low K And Other Dielectric Films
App 20210134618 - Lubomirsky; Dmitry ;   et al.
2021-05-06
PROCESS TO IMPROVE INTERFACE STATE DENSITY Dit ON DEEP TRENCH ISOLATION (DTI) FOR CMOS IMAGE SENSOR
App 20210111222 - Li; Philip Hsin-hua ;   et al.
2021-04-15
Methods And Apparatuses For Forming Interconnection Structures
App 20210104434 - Ren; He ;   et al.
2021-04-08
Multi-source Ion Beam Etch System
App 20210104374 - Liang; Qiwei ;   et al.
2021-04-08
Residual removal
Grant 10,964,527 - Kim , et al. March 30, 2
2021-03-30
Method and system for three-dimensional (3D) structure fill
Grant 10,943,779 - Yieh , et al. March 9, 2
2021-03-09
Process chamber for etching low K and other dielectric films
Grant 10,923,367 - Lubomirsky , et al. February 16, 2
2021-02-16
Methods of patterning nickel silicide layers on a semiconductor device
Grant 10,692,734 - Kim , et al.
2020-06-23
Methods Of Patterning Nickel Silicide Layers On A Semiconductor Device
App 20200135492 - KIM; JONG MUN ;   et al.
2020-04-30
Residual Removal
App 20190393024 - KIM; Jong Mun ;   et al.
2019-12-26
Selective deposition of metal silicides
Grant 10,475,655 - Hung , et al. Nov
2019-11-12
Process Chamber For Etching Low K And Other Dielectric Films
App 20180358244 - LUBOMIRSKY; Dmitry ;   et al.
2018-12-13
Selective Deposition Of Metal Silicides
App 20180342395 - HUNG; Raymond ;   et al.
2018-11-29
Process chamber for etching low K and other dielectric films
Grant 10,096,496 - Lubomirsky , et al. October 9, 2
2018-10-09
Low-temperature Atomic Layer Deposition Of Boron Nitride And Bn Structures
App 20180040476 - WOLF; Steven ;   et al.
2018-02-08
Process Chamber For Etching Low K And Other Dielectric Films
App 20170229325 - LUBOMIRSKY; Dmitry ;   et al.
2017-08-10
Process Chamber For Dielectric Gapfill
App 20170226637 - Lubomirsky; Dmitry ;   et al.
2017-08-10
Process chamber for etching low k and other dielectric films
Grant 9,666,414 - Lubomirsky , et al. May 30, 2
2017-05-30
Method And System For Three-dimensional (3d) Structure Fill
App 20170069488 - Yieh; Ellie ;   et al.
2017-03-09
Method and system for three-dimensional (3D) structure fill
Grant 9,530,674 - Yieh , et al. December 27, 2
2016-12-27
Method And System For Three-dimensional (3d) Structure Fill
App 20150093907 - YIEH; Ellie ;   et al.
2015-04-02
Method Of Depositing Thin Metal-organic Films
App 20150079301 - NEMANI; Srinivas ;   et al.
2015-03-19
Method of multiple patterning of a low-K dielectric film
Grant 8,940,642 - Nemani , et al. January 27, 2
2015-01-27
Post etch treatment (PET) of a low-K dielectric film
Grant 8,871,650 - Nemani , et al. October 28, 2
2014-10-28
Method of patterning a low-K dielectric film
Grant 8,741,775 - Nemani , et al. June 3, 2
2014-06-03
Process Chamber For Dielectric Gapfill
App 20140083362 - LUBOMIRSKY; Dmitry ;   et al.
2014-03-27
Process Chamber For Etching Low K And Other Dielectric Films
App 20130105303 - LUBOMIRSKY; Dmitry ;   et al.
2013-05-02
Post Etch Treatment (pet) Of A Low-k Dielectric Film
App 20130109187 - Nemani; Srinivas D. ;   et al.
2013-05-02
Method Of Patterning A Low-k Dielectric Film
App 20130023124 - Nemani; Srinivas D. ;   et al.
2013-01-24
Method Of Multiple Patterning Of A Low-k Dielectric Film
App 20130023122 - Nemani; Srinivas D. ;   et al.
2013-01-24
High quality silicon oxide films by remote plasma CVD from disilane precursors
Grant 8,242,031 - Mallick , et al. August 14, 2
2012-08-14
Dielectric deposition and etch back processes for bottom up gapfill
Grant 8,232,176 - Lubomirsky , et al. July 31, 2
2012-07-31
Process Chamber For Dielectric Gapfill
App 20120073501 - Lubomirsky; Dmitry ;   et al.
2012-03-29
Post-deposition treatment to enhance properties of Si-O-C low K films
Grant 8,143,174 - Xia , et al. March 27, 2
2012-03-27
High Quality Silicon Oxide Films By Remote Plasma Cvd From Disilane Precursors
App 20110014798 - Mallick; Abhijit Basu ;   et al.
2011-01-20
High quality silicon oxide films by remote plasma CVD from disilane precursors
Grant 7,867,923 - Mallick , et al. January 11, 2
2011-01-11
Memory cell having stressed layers
Grant 7,678,662 - Arghavani , et al. March 16, 2
2010-03-16
High Quality Silicon Oxide Films By Remote Plasma Cvd From Disilane Precursors
App 20090104755 - Mallick; Abhijit Basu ;   et al.
2009-04-23
Accelerated plasma clean
Grant 7,506,654 - Chandran , et al. March 24, 2
2009-03-24
Method of forming a low-K dual damascene interconnect structure
Grant 7,435,685 - Delgadino , et al. October 14, 2
2008-10-14
Method Of Forming A Low-k Dual Damascene Interconnect Structure
App 20080145998 - DELGADINO; GERARDO A. ;   et al.
2008-06-19
Post-deposition Treatment To Enhance Properties Of Si-o-c Low K Films
App 20080132087 - Xia; Li-Qun ;   et al.
2008-06-05
Post-deposition treatment to enhance properties of Si-O-C low k films
Grant 7,326,657 - Xia , et al. February 5, 2
2008-02-05
Dielectric Deposition And Etch Back Processes For Bottom Up Gapfill
App 20070298585 - Lubomirsky; Dmitry ;   et al.
2007-12-27
Process Chamber For Dielectric Gapfill
App 20070289534 - Lubomirsky; Dmitry ;   et al.
2007-12-20
Process Chamber For Dielectric Gapfill
App 20070281106 - Lubomirsky; Dmitry ;   et al.
2007-12-06
Process Chamber For Dielectric Gapfill
App 20070277734 - Lubomirsky; Dmitry ;   et al.
2007-12-06
Gap-fill Depositions In The Formation Of Silicon Containing Dielectric Materials
App 20070212850 - Ingle; Nitin K. ;   et al.
2007-09-13
Memory Cell Having Stressed Layers
App 20070132054 - Arghavani; Reza ;   et al.
2007-06-14
Method of depositing low k films
Grant 7,160,821 - Huang , et al. January 9, 2
2007-01-09
CVD plasma assisted lower dielectric constant SICOH film
Grant 7,153,787 - Cho , et al. December 26, 2
2006-12-26
Method of depositing dielectric materials including oxygen-doped silicon carbide in damascene applications
Grant 7,151,053 - Lee , et al. December 19, 2
2006-12-19
Method of forming a low-K dual damascene interconnect structure
Grant 7,132,369 - Delgadino , et al. November 7, 2
2006-11-07
Method of depositing dielectric films
Grant 7,117,064 - Nemani , et al. October 3, 2
2006-10-03
Method of decreasing the k value in sioc layer deposited by chemical vapor deposition
Grant 7,074,708 - Gaillard , et al. July 11, 2
2006-07-11
Method and apparatus for deposition of low dielectric constant materials
App 20060144334 - Yim; Kang Sub ;   et al.
2006-07-06
Method of depositing dielectric films
App 20060141805 - Nemani; Srinivas D. ;   et al.
2006-06-29
Method and apparatus for deposition of low dielectric constant materials
Grant 7,008,484 - Yim , et al. March 7, 2
2006-03-07
Method of depositing dielectric films
Grant 7,001,850 - Nemani , et al. February 21, 2
2006-02-21
Method of depositing low k films
App 20050260864 - Huang, Tzu-Fang ;   et al.
2005-11-24
Method of depositing dielectric materials in damascene applications
App 20050233576 - Lee, Ju-Hyung ;   et al.
2005-10-20
CVD plasma assisted lower dielectric constant SICOH film
Grant 6,943,127 - Cho , et al. September 13, 2
2005-09-13
Hardness improvement of silicon carboxy films
Grant 6,936,309 - Li , et al. August 30, 2
2005-08-30
Solvent free photoresist strip and residue removal processing for post etching of low-k films
App 20050158667 - Nguyen, Huong Thanh ;   et al.
2005-07-21
CVD plasma assisted lower dielectric constant sicoh film
App 20050153572 - Cho, Seon-Mee ;   et al.
2005-07-14
Accelerated plasma clean
App 20050103266 - Chandran, Shankar N. ;   et al.
2005-05-19
Method of depositing dielectric materials in damascene applications
Grant 6,890,850 - Lee , et al. May 10, 2
2005-05-10
Post-deposition treatment to enhance properties of Si-O-C low K films
App 20050070128 - Xia, Li-Qun ;   et al.
2005-03-31
Post-deposition treatment to enhance properties of Si-O-C low films
Grant 6,858,923 - Xia , et al. February 22, 2
2005-02-22
Method of depositing dielectric films
App 20050020048 - Nemani, Srinivas D. ;   et al.
2005-01-27
Use of cyclic siloxanes for hardness improvement
App 20040234688 - Singh, Vinita ;   et al.
2004-11-25
Use of cyclic siloxanes for hardness improvement of low k dielectric films
Grant 6,815,373 - Singh , et al. November 9, 2
2004-11-09
Accelerated plasma clean
Grant 6,814,087 - Chandran , et al. November 9, 2
2004-11-09
Method of depositing low K films
Grant 6,806,207 - Huang , et al. October 19, 2
2004-10-19
Method of decreasing the K value in SIOC layer deposited by chemical vapor deposition
Grant 6,784,119 - Gaillard , et al. August 31, 2
2004-08-31
Method of decreasing the K value in SIOC layer deposited by chemical vapor deposition
App 20040166665 - Gaillard, Frederic ;   et al.
2004-08-26
Method of forming a low-K dual damascene interconnect structure
App 20040157453 - Delgadino, Gerardo A. ;   et al.
2004-08-12
Method of depositing dielectric films
Grant 6,764,958 - Nemani , et al. July 20, 2
2004-07-20
Integration scheme for dual damascene structure
Grant 6,753,258 - Gaillard , et al. June 22, 2
2004-06-22
Silicon carbide cap layers for low dielectric constant silicon oxide layers
Grant 6,750,141 - Xia , et al. June 15, 2
2004-06-15
Purge heater design and process development for the improvement of low k film properties
Grant 6,709,721 - Rocha-Alvarez , et al. March 23, 2
2004-03-23
Method of decreasing the K value in SIOC layer deposited by chemical vapor deposition
App 20040029400 - Gaillard, Frederic ;   et al.
2004-02-12
Solvent free photoresist strip and residue removal processing for post etching of low-k films
Grant 6,680,164 - Nguyen , et al. January 20, 2
2004-01-20
Method and apparatus for processing semiconductor substrates with hydroxyl radicals
App 20030221621 - Pokharna, Himanshu ;   et al.
2003-12-04
Method and apparatus for deposition of low dielectric constant materials
App 20030207033 - Yim, Kang Sub ;   et al.
2003-11-06
Methods and apparatus to enhance properties of Si-O-C low K films
Grant 6,635,575 - Xia , et al. October 21, 2
2003-10-21
Use of cyclic siloxanes for hardness improvement
App 20030194880 - Singh, Vinita ;   et al.
2003-10-16
Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
App 20030194495 - Li, Lihua ;   et al.
2003-10-16
Process for forming a low dielectric constant carbon-containing film
Grant 6,632,478 - Gaillard , et al. October 14, 2
2003-10-14
Hardness improvement of silicon carboxy films
App 20030186000 - Li, Lihua ;   et al.
2003-10-02
Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
Grant 6,627,532 - Gaillard , et al. September 30, 2
2003-09-30
Method of depositing low K films
App 20030162410 - Huang, Tzu-Fang ;   et al.
2003-08-28
Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
Grant 6,602,806 - Xia , et al. August 5, 2
2003-08-05
Method and apparatus for forming a dielectric film using helium as a carrier gas
Grant 6,599,574 - Yieh , et al. July 29, 2
2003-07-29
Method of depositing low k films using an oxidizing plasma
Grant 6,593,247 - Huang , et al. July 15, 2
2003-07-15
Method of depositing dielectric materials in damascene applications
App 20030129827 - Lee, Ju-Hyung ;   et al.
2003-07-10
Surface treatment of c-doped SiO2 film to enhance film stability during O2 ashing
Grant 6,583,497 - Xia , et al. June 24, 2
2003-06-24
CVD plasma assisted lower dielectric constant sicoh film
App 20030104708 - Cho, Seon-Mee ;   et al.
2003-06-05
Solvent free photoresist strip and residue removal processing for post etching of low-k films
App 20030104320 - Nguyen, Huong Thanh ;   et al.
2003-06-05
Method of depositing organosilicate layers
Grant 6,573,196 - Gaillard , et al. June 3, 2
2003-06-03
Method for cleaning a process chamber
Grant 6,569,257 - Nguyen , et al. May 27, 2
2003-05-27
Post-deposition treatment to enhance properties of SI-O-C low films
App 20030077857 - Xia, Li-Qun ;   et al.
2003-04-24
Method of depositing organosillicate layers
Grant 6,531,398 - Gaillard , et al. March 11, 2
2003-03-11
Silicon carbide cap layers for low dielectric constant silicon oxide layers
App 20030013295 - Xia, Li-Qun ;   et al.
2003-01-16
Surface treatment of c-doped SiO2 film to enhance film stability during 02 ashing
App 20030008528 - Xia, Li-Qun ;   et al.
2003-01-09
Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
App 20030008069 - Nemani, Srinivas ;   et al.
2003-01-09
Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
Grant 6,503,843 - Xia , et al. January 7, 2
2003-01-07
Cvd Plasma Assisted Lower Dielectric Constant Sicoh Film
App 20030003768 - Cho, Seon-Mee ;   et al.
2003-01-02
Method of depositing organosilicate layers
Grant 6,500,773 - Gaillard , et al. December 31, 2
2002-12-31
Optical Marker Layer For Etch Endpoint Determination
App 20020192845 - Nguyen, Huong Thanh ;   et al.
2002-12-19
Purge heater design and process development for the improvement of low k film properties
App 20020187262 - Rocha-Alvarez, Juan Carlos ;   et al.
2002-12-12
Post-deposition treatment to enhance properties of Si-O-C low K films
Grant 6,486,061 - Xia , et al. November 26, 2
2002-11-26
CVD plasma assisted lower dielectric constant sicoh film
Grant 6,486,082 - Cho , et al. November 26, 2
2002-11-26
Two-step flourinated-borophosophosilicate glass deposition process
App 20020173169 - Campana, Francimar ;   et al.
2002-11-21
Methods for forming a low dielectric constant carbon-containing film, and films produced thereby
App 20020164429 - Gaillard, Frederic ;   et al.
2002-11-07
Method for planarizing organosilicate layers
App 20020160692 - Rivoire, Maurice ;   et al.
2002-10-31
Silicon carbide cap layers for low dielectric constant silicon oxide layers
Grant 6,472,333 - Xia , et al. October 29, 2
2002-10-29
Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
Grant 6,465,372 - Xia , et al. October 15, 2
2002-10-15
Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
Grant 6,465,366 - Nemani , et al. October 15, 2
2002-10-15
Plasma treatment of organosilicate layers
App 20020142104 - Nemani, Srinivas ;   et al.
2002-10-03
Silicon Carbide Cap Layers For Low Dielectric Constant Silicon Oxide Layers
App 20020142578 - Xia, Li-Qun ;   et al.
2002-10-03
Method and apparatus for forming material layers from atomic gasses
App 20020119673 - Yieh, Ellie ;   et al.
2002-08-29
Accelerated plasma clean
App 20020104467 - Chandran, Shankar N. ;   et al.
2002-08-08
Interface with dielectric layer and method of making
App 20020102856 - Xia, Li-Qun ;   et al.
2002-08-01
Method of reducing undesired etching of insulation due to elevated boron concentrations
Grant 6,426,015 - Xia , et al. July 30, 2
2002-07-30
Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
Grant 6,413,583 - Moghadam , et al. July 2, 2
2002-07-02
Accelerated plasma clean
Grant 6,374,831 - Chandran , et al. April 23, 2
2002-04-23
Sub-atmospheric chemical vapor deposition system with dopant bypass
Grant 6,360,685 - Xia , et al. March 26, 2
2002-03-26
Methods and apparatus for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
Grant 6,348,099 - Xia , et al. February 19, 2
2002-02-19
Methods and apparatus for gettering fluorine from chamber material surfaces
Grant 6,347,636 - Xia , et al. February 19, 2
2002-02-19
Method And Apparatus For Forming Material Layers From Atomic Gasses
App 20010052323 - YIEH, ELLIE ;   et al.
2001-12-20
Dielectric film deposition employing a bistertiarybutylaminesilane precursor
App 20010000476 - Xia, Li-Qun ;   et al.
2001-04-26
Two-step borophosphosilicate glass deposition process and related devices and apparatus
Grant 6,218,268 - Xia , et al. April 17, 2
2001-04-17
Cleaning process end point determination using throttle valve position
Grant 6,170,492 - Ueda , et al. January 9, 2
2001-01-09
Method for forming low compressive stress fluorinated ozone/TEOS oxide film
Grant 6,121,164 - Yieh , et al. September 19, 2
2000-09-19
Deposition resistant lining for CVD chamber
Grant 6,117,244 - Bang , et al. September 12, 2
2000-09-12
Methods for shallow trench isolation
Grant 6,114,216 - Yieh , et al. September 5, 2
2000-09-05
Lid assembly for a process chamber employing asymmetric flow geometries
Grant 6,110,556 - Bang , et al. August 29, 2
2000-08-29
Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
Grant 6,099,647 - Yieh , et al. August 8, 2
2000-08-08
Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
Grant 5,994,209 - Yieh , et al. November 30, 1
1999-11-30
Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
Grant 5,963,840 - Xia , et al. October 5, 1
1999-10-05
Method and apparatus for gettering fluorine from chamber material surfaces
Grant 5,935,340 - Xia , et al. August 10, 1
1999-08-10
Methods and apparatus for cleaning surfaces in a substrate processing system
Grant 5,812,403 - Fong , et al. September 22, 1
1998-09-22
Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
Grant 5,356,722 - Nguyen , et al. October 18, 1
1994-10-18

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed