loadpatents
name:-0.17734384536743
name:-0.15432620048523
name:-0.034267902374268
Yang; Chi-Ming Patent Filings

Yang; Chi-Ming

Patent Applications and Registrations

Patent applications and USPTO patent grants for Yang; Chi-Ming.The latest application filed is for "heat dissipation structure, semiconductor packaging device, and manufacturing method of the semiconductor packaging device".

Company Profile
36.148.182
  • Yang; Chi-Ming - Hsinchu TW
  • YANG; Chi-Ming - HSINCHU CITY TW
  • Yang; Chi-Ming - Taoyuan TW
  • Yang; Chi-Ming - Sunnyvale CA
  • Yang; Chi-Ming - Hsin-Chu N/A TW
  • YANG; Chi-Ming - Taoyuan City TW
  • Yang; Chi-Ming - Hsian-San District TW
  • - Hsian-San District TW
  • - Hsinchu TW
  • YANG; Chi-Ming - Hsin-Chu City TW
  • Yang; Chi-Ming - Hsian-San N/A TW
  • - Hsin-Chu TW
  • Yang; Chi-Ming - Hsian-San Distrirct TW
  • Yang; Chi-Ming - Jubei City TW
  • Yang; Chi-Ming - Jubei TW
  • Yang; Chi-Ming - Hsin-San District TW
  • Yang; Chi-Ming - Taipei County TW
  • Yang; Chi-Ming - Hsin Chuang TW
  • Yang, Chi-Ming - Taipei City TW
  • Yang; Chi Ming - Ilan TW
  • Yang; Chi-Ming - Taoyuan Hsien TW
  • Yang, Chi-Ming - Taipei TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Heat dissipation structure, semiconductor packaging device, and manufacturing method of the semiconductor packaging device
Grant 11,450,586 - Chen , et al. September 20, 2
2022-09-20
Heat Dissipation Structure, Semiconductor Packaging Device, And Manufacturing Method Of The Semiconductor Packaging Device
App 20220262701 - CHEN; Jia-Liang ;   et al.
2022-08-18
Biological Chip Testing System
App 20220244216 - Yang; Chi-Ming
2022-08-04
Semiconductor lithography system and/or method
Grant 11,402,761 - Wu , et al. August 2, 2
2022-08-02
Probing System For Discrete Wafer
App 20220163563 - HSU; Wen-Yuan ;   et al.
2022-05-26
Photoresist For Semiconductor Fabrication
App 20220100087 - Liu; Chih-Cheng ;   et al.
2022-03-31
In-Situ Deposition and Densification Treatment for Metal-Comprising Resist Layer
App 20220100088 - Kuo; Yi-Chen ;   et al.
2022-03-31
Photoresist For Semiconductor Fabrication
App 20220100086 - Liu; Chih-Cheng ;   et al.
2022-03-31
Semiconductor devices employing a barrier layer
Grant 11,264,321 - Chang Chien , et al. March 1, 2
2022-03-01
Ellipsometer and method for estimating thickness of film
Grant 11,255,658 - Hsu , et al. February 22, 2
2022-02-22
Method Of Manufacturing A Semiconductor Device And Pattern Formation Method
App 20220005687 - LIU; Chih-Cheng ;   et al.
2022-01-06
Apparatus and method for generating an electromagnetic radiation
Grant 11,199,767 - Lee , et al. December 14, 2
2021-12-14
Semiconductor Lithography System And/or Method
App 20210364930 - Wu; Tsiao-Chen ;   et al.
2021-11-25
Apparatus and method for generating an electromagnetic radiation
Grant 11,153,957 - Hsu , et al. October 19, 2
2021-10-19
Method Of Manufacturing A Semiconductor Device
App 20210305047 - WEI; Jia-Lin ;   et al.
2021-09-30
Method Of Manufacturing A Semiconductor Device
App 20210302839 - LIU; Chih-Cheng ;   et al.
2021-09-30
Method Of Manufacturing A Semiconductor Device
App 20210302833 - WENG; Ming-Hui ;   et al.
2021-09-30
Photoresist Layer Surface Treatment, Cap Layer, And Method Of Forming Photoresist Pattern
App 20210305040 - KUO; Yi-Chen ;   et al.
2021-09-30
Optical module
Grant 11,125,968 - Yang , et al. September 21, 2
2021-09-21
Method For Forming A Semiconductor Structure Using Dehydrating Chemical, And Method For Forming A Semiconductor Structure
App 20210265221 - LEE; CHUNG-CHIEH ;   et al.
2021-08-26
3D IC bump height metrology APC
Grant 11,075,097 - Cheng , et al. July 27, 2
2021-07-27
Wafer Polishing Head, System Thereof, And Method Using The Same
App 20210220965 - HWANG; JAMES JENG-JYI ;   et al.
2021-07-22
Flexible framework for secure search
Grant 11,038,867 - Krishnaprasad , et al. June 15, 2
2021-06-15
Method for forming a semiconductor structure using dehydrating chemical, and method for forming a semiconductor structure
Grant 11,004,746 - Lee , et al. May 11, 2
2021-05-11
Method of manufacturing semiconductor structure
Grant 10,991,604 - Hsu , et al. April 27, 2
2021-04-27
Organometallic Cluster Photoresists For Euv Lithography
App 20210087210 - Chang; Hsu-Kai ;   et al.
2021-03-25
Device And Methods For Chemical Mechanical Polishing
App 20210039223 - HWANG; JAMES JENG-JYI ;   et al.
2021-02-11
Light generation system using metal-nonmetal compound as precursor and related light generation method
Grant 10,880,982 - Hsu , et al. December 29, 2
2020-12-29
Apparatus and methods for chemical mechanical polishing
Grant 10,875,148 - Peng , et al. December 29, 2
2020-12-29
Apparatus and methods for chemical mechanical polishing
Grant 10,875,143 - Hwang , et al. December 29, 2
2020-12-29
Method For Manufacturing Semiconductor Structure
App 20200402806 - CHENG; NAI-HAN ;   et al.
2020-12-24
Ellipsometer And Method For Estimating Thickness Of Film
App 20200386539 - HSU; FENG YUAN ;   et al.
2020-12-10
Method and apparatus for performing a polishing process in semiconductor fabrication
Grant 10,857,649 - Lee , et al. December 8, 2
2020-12-08
Ellipsometer and method for estimating thickness of film
Grant 10,760,896 - Hsu , et al. Sep
2020-09-01
Semiconductor manufacturing apparatus and method thereof
Grant 10,763,117 - Cheng , et al. Sep
2020-09-01
Method of planarizing a wafer
Grant 10,668,592 - Lee , et al.
2020-06-02
Method For Forming A Semiconductor Structure Using Dehydrating Chemical, And Method For Forming A Semiconductor Structure
App 20200168508 - LEE; CHUNG-CHIEH ;   et al.
2020-05-28
Optical Module
App 20200150382 - YANG; Chi-Ming ;   et al.
2020-05-14
3d Ic Bump Height Metrology Apc
App 20200152495 - Cheng; Nai-Han ;   et al.
2020-05-14
Apparatus And Method For Generating An Electromagnetic Radiation
App 20200137863 - HSU; TZU JENG ;   et al.
2020-04-30
Apparatus And Method For Generating An Electromagnetic Radiation
App 20200117076 - LEE; CHUNG-CHIEH ;   et al.
2020-04-16
Ellipsometer And Method For Estimating Thickness Of Film
App 20200072598 - HSU; FENG YUAN ;   et al.
2020-03-05
Metrology Method
App 20200066606 - LIN; Su-Horng ;   et al.
2020-02-27
Semiconductor Devices Employing a Barrier Layer
App 20200066633 - Chang Chien; Ying-Hsueh ;   et al.
2020-02-27
Light Generation System Using Metal-nonmetal Compound As Precursor And Related Light Generation Method
App 20200045801 - HSU; CHING-HSIANG ;   et al.
2020-02-06
Apparatus And Methods For Chemical Mechanical Polishing
App 20200039019 - HWANG; JAMES JENG-JYI ;   et al.
2020-02-06
Method Of Manufacturing Semiconductor Structure
App 20200035524 - HSU; JYH-SHIOU ;   et al.
2020-01-30
3D IC bump height metrology APC
Grant 10,541,164 - Cheng , et al. Ja
2020-01-21
Gigasonic cleaning techniques
Grant 10,512,946 - Chien , et al. Dec
2019-12-24
High throughput CMP platform
Grant 10,513,006 - Wu , et al. Dec
2019-12-24
Apparatus and method for generating an electromagnetic radiation
Grant 10,509,311 - Lee , et al. Dec
2019-12-17
Apparatus for particle cleaning
Grant 10,507,498 - Chang Chien , et al. Dec
2019-12-17
Semiconductor devices employing a barrier layer
Grant 10,510,655 - Chang Chien , et al. Dec
2019-12-17
Apparatus And Methods For Chemical Mechanical Polishing
App 20190375071 - PENG; HE HUI ;   et al.
2019-12-12
Apparatus And Method For Generating An Electromagnetic Radiation
App 20190369481 - LEE; CHUNG-CHIEH ;   et al.
2019-12-05
Flexible Framework For Secure Search
App 20190364029 - Krishnaprasad; Muralidhar ;   et al.
2019-11-28
Metrology device and metrology method thereof
Grant 10,460,999 - Lin , et al. Oc
2019-10-29
Flexible framework for secure search
Grant 10,382,421 - Krishnaprasad , et al. A
2019-08-13
3d Ic Bump Height Metrology Apc
App 20190139800 - Cheng; Nai-Han ;   et al.
2019-05-09
Method of selectively removing silicon nitride and single wafer etching apparatus thereof
Grant 10,269,591 - Changchien , et al.
2019-04-23
3D IC bump height metrology APC
Grant 10,181,415 - Cheng , et al. Ja
2019-01-15
Bottom-up PEALD proces
Grant 10,121,653 - Wu , et al. November 6, 2
2018-11-06
Multi-zone temperature control for semiconductor wafer
Grant 10,113,233 - Chang , et al. October 30, 2
2018-10-30
Multi-point chemical mechanical polishing end point detection system and method of using
Grant 10,090,207 - Wu , et al. October 2, 2
2018-10-02
Chemical mechanical polishing (CMP) platform for local profile control
Grant 10,065,288 - Wu , et al. September 4, 2
2018-09-04
Composition for chemical mechanical polishing and method for reducing chemical mechanical polishing surface defects
Grant 10,066,127 - Yu , et al. September 4, 2
2018-09-04
pH-adjuster free chemical mechanical planarization slurry
Grant 10,035,929 - Huang , et al. July 31, 2
2018-07-31
Fine temperature controllable wafer heating system
Grant 10,020,209 - Chien , et al. July 10, 2
2018-07-10
Apparatus and process of electro-chemical plating
Grant 10,011,918 - Changchien , et al. July 3, 2
2018-07-03
Semiconductor Manufacturing Apparatus And Method Thereof
App 20180166291 - CHENG; NAI-HAN ;   et al.
2018-06-14
Flexible Framework For Secure Search
App 20180124031 - Krishnaprasad; Muralidhar ;   et al.
2018-05-03
Method of identifying airborne molecular contamination source
Grant 9,958,424 - Chuang , et al. May 1, 2
2018-05-01
3d Ic Bump Height Metrology Apc
App 20180096872 - Cheng; Nai-Han ;   et al.
2018-04-05
Defect inspection and repairing method and associated system and non-transitory computer readable medium
Grant 9,929,045 - Cheng , et al. March 27, 2
2018-03-27
Wafer processing system using multi-zone chuck
Grant 9,892,954 - Cheng , et al. February 13, 2
2018-02-13
Semiconductor manufacturing apparatus and method thereof
Grant 9,892,931 - Cheng , et al. February 13, 2
2018-02-13
Defect Inspection And Repairing Method And Associated System And Non-transitory Computer Readable Medium
App 20180019166 - CHENG; NAI-HAN ;   et al.
2018-01-18
Composition For Chemical Mechanical Polishing And Method For Reducing Chemical Mechanical Polishing Surface Defects
App 20180016467 - YU; AN-DIH ;   et al.
2018-01-18
Solid precursor delivery method using liquid solvent for thin film deposition
Grant 9,869,018 - Lee , et al. January 16, 2
2018-01-16
Ion implantation with charge and direction control
Grant 9,865,429 - Hwang , et al. January 9, 2
2018-01-09
Pellicle and method for manufacturing the same
Grant 9,864,270 - Ma , et al. January 9, 2
2018-01-09
3D IC bump height metrology APC
Grant 9,859,139 - Cheng , et al. January 2, 2
2018-01-02
Flexible authentication framework
Grant 9,853,962 - Krishnaprasad , et al. December 26, 2
2017-12-26
Apparatus For Particle Cleaning
App 20170361361 - CHANG CHIEN; YING-HSUEH ;   et al.
2017-12-21
Ion beam dimension control for ion implantation process and apparatus, and advanced process control
Grant 9,805,913 - Hwang , et al. October 31, 2
2017-10-31
Solid Precursor Delivery Method Using Liquid Solvent for Thin Film Deposition
App 20170306485 - Lee; Chung-Chieh ;   et al.
2017-10-26
Method of selectively removing silicon nitride and etching apparatus thereof
Grant 9,799,530 - Changchien , et al. October 24, 2
2017-10-24
Apparatus and methods for movable megasonic wafer probe
Grant 9,764,364 - Chang Chien , et al. September 19, 2
2017-09-19
Hard mask removal scheme
Grant 9,754,796 - Changchien , et al. September 5, 2
2017-09-05
Reactive radical treatment for polymer removal and workpiece cleaning
Grant 9,741,585 - Lee , et al. August 22, 2
2017-08-22
Pellicle And Method For Manufacturing The Same
App 20170205705 - Ma; Jeng-Shin ;   et al.
2017-07-20
Apparatus and method of cleaning wafers
Grant 9,691,641 - Huang , et al. June 27, 2
2017-06-27
pH-ADJUSTER FREE CHEMICAL MECHANICAL PLANARIZATION SLURRY
App 20170152402 - Huang; Shu-Hao ;   et al.
2017-06-01
Gigasonic Cleaning Techniques
App 20170066021 - Chien; Ying-Hsueh Chang ;   et al.
2017-03-09
System and method of cleaning FOUP
Grant 9,579,697 - Hsu , et al. February 28, 2
2017-02-28
Flexible Authentication Framework
App 20170039282 - Krishnaprasad; Muralidhar ;   et al.
2017-02-09
Method of fabricating an integrated circuit device
Grant 9,564,509 - Yeh , et al. February 7, 2
2017-02-07
Multi-zone Temperature Control For Semiconductor Wafer
App 20170022611 - CHANG; Chun-Lin ;   et al.
2017-01-26
3d Ic Bump Height Metrology Apc
App 20170018445 - Cheng; Nai-Han ;   et al.
2017-01-19
Device manufacturing cleaning process using vaporized solvent
Grant 9,536,757 - Chen , et al. January 3, 2
2017-01-03
In-situ charging neutralization
Grant 9,530,617 - Wu , et al. December 27, 2
2016-12-27
Flexible authentication framework
Grant 9,479,494 - Krishnaprasad , et al. October 25, 2
2016-10-25
Flexible authentication framework
Grant 9,467,437 - Krishnaprasad , et al. October 11, 2
2016-10-11
Systems and methods for fabricating and orienting semiconductor wafers
Grant 9,449,864 - Lin , et al. September 20, 2
2016-09-20
Method for monitoring ion implantation
Grant 9,449,889 - Chang , et al. September 20, 2
2016-09-20
Fine Temperature Controllable Wafer Heating System
App 20160268147 - Chien; Ying-Hsueh Chang ;   et al.
2016-09-15
Layer by layer electro chemical plating (ECP) process
Grant 9,435,048 - Lin , et al. September 6, 2
2016-09-06
Methods for real-time error detection in CMP processing
Grant 9,403,254 - Hwang , et al. August 2, 2
2016-08-02
Apparatus And Process Of Electro-chemical Plating
App 20160177467 - CHANGCHIEN; Ying-Hsueh ;   et al.
2016-06-23
Metal conductor chemical mechanical polish
Grant 9,368,452 - Huang , et al. June 14, 2
2016-06-14
Hard Mask Removal Scheme
App 20160155646 - CHANGCHIEN; Ying-Hsueh ;   et al.
2016-06-02
Fine temperature controllable wafer heating system
Grant 9,349,623 - Chien , et al. May 24, 2
2016-05-24
Method Of Planarizing A Wafer
App 20160136776 - LEE; Bo-I ;   et al.
2016-05-19
Flexible Authentication Framework
App 20160119321 - Krishnaprasad; Muralidhar ;   et al.
2016-04-28
Method and apparatus for controlling beam angle during ion implantation of a semiconductor wafer based upon pressure
Grant 9,315,892 - Cheng , et al. April 19, 2
2016-04-19
Semiconductor device cleaning method and apparatus
Grant 9,299,593 - Yeh , et al. March 29, 2
2016-03-29
Method Of Manufacturing Cerium Dioxide Powder And Cerium Dioxide Powder
App 20160075564 - HUANG; Shu-Hao ;   et al.
2016-03-17
Hard mask removal scheme
Grant 9,287,133 - Changchien , et al. March 15, 2
2016-03-15
Flexible Authentication Framework
App 20160055209 - Krishnaprasad; Muralidhar ;   et al.
2016-02-25
Substrate rapid thermal heating system and methods
Grant 9,239,192 - Cheng , et al. January 19, 2
2016-01-19
Bottom-up Peald Process
App 20160013043 - Wu; Lin-Jung ;   et al.
2016-01-14
Integration of bottom-up metal film deposition
Grant 9,214,543 - Lin , et al. December 15, 2
2015-12-15
Chemical Mechanical Polishing (cmp) Platform For Local Profile Control
App 20150352686 - Wu; Jiann Lih ;   et al.
2015-12-10
Bottom-up PEALD process
Grant 9,184,045 - Wu , et al. November 10, 2
2015-11-10
Flexible authentication framework
Grant 9,177,124 - Krishnaprasad , et al. November 3, 2
2015-11-03
Method and structure for advanced semiconductor channel substrate materials
Grant 9,165,835 - Yeh , et al. October 20, 2
2015-10-20
Ion Beam Dimension Control For Ion Implantation Process And Apparatus, And Advanced Process Control
App 20150270103 - HWANG; Chih-Hong ;   et al.
2015-09-24
CMP pad cleaning apparatus
Grant 9,138,861 - Wu , et al. September 22, 2
2015-09-22
Hard Mask Removal Scheme
App 20150255303 - CHANGCHIEN; YING-HSUEH ;   et al.
2015-09-10
Method for Monitoring Ion Implantation
App 20150221561 - Chang; Chun-Lin ;   et al.
2015-08-06
Multi-zone Temperature Control For Semiconductor Wafer
App 20150211122 - CHANG; Chun-Lin ;   et al.
2015-07-30
Ion beam dimension control for ion implantation process and apparatus, and advanced process control
Grant 9,070,534 - Hwang , et al. June 30, 2
2015-06-30
System and method of ion neutralization with multiple-zoned plasma flood gun
Grant 9,053,907 - Chang , et al. June 9, 2
2015-06-09
Methods for forming integrated circuits
Grant 9,048,186 - Huang , et al. June 2, 2
2015-06-02
Metrology Device And Metrology Method Thereof
App 20150146841 - LIN; Su-Horng ;   et al.
2015-05-28
Multi-factor advanced process control method and system for integrated circuit fabrication
Grant 9,031,684 - Cheng , et al. May 12, 2
2015-05-12
Multi-zone temperature control for semiconductor wafer
Grant 9,023,664 - Chang , et al. May 5, 2
2015-05-05
Method Of Fabricating An Integrated Circuit Device
App 20150118807 - YEH; Ming-Hsi ;   et al.
2015-04-30
Apparatus and Methods for Movable Megasonic Wafer Probe
App 20150107634 - Chang Chien; Ying-Hsueh ;   et al.
2015-04-23
Method Of Selectively Removing Silicon Nitride And Etching Apparatus Thereof
App 20150111311 - CHANGCHIEN; Ying-Hsueh ;   et al.
2015-04-23
Method Of Selectively Removing Silicon Nitride And Single Wafer Etching Apparatus Thereof
App 20150111390 - CHANGCHIEN; Ying-Hsueh ;   et al.
2015-04-23
Wafer Particle Removal
App 20150107619 - Wu; Jiann Lih ;   et al.
2015-04-23
Semiconductor Manufacturing Apparatus And Method Thereof
App 20150104949 - CHENG; NAI-HAN ;   et al.
2015-04-16
Apparatus for monitoring ion implantation
Grant 9,006,676 - Chang , et al. April 14, 2
2015-04-14
Device Manufacturing Cleaning Process Using Vaporized Solvent
App 20150068559 - Chen; Albert Po Chia ;   et al.
2015-03-12
ION Implantation with Charge and Direction Control
App 20150069913 - Hwang; Chih-Hong ;   et al.
2015-03-12
N/P metal crystal orientation for high-k metal gate Vt modulation
Grant 8,932,921 - Lin , et al. January 13, 2
2015-01-13
Systems And Methods For Fabricating And Orienting Semiconductor Wafers
App 20150009499 - LIN; Chin-Ming ;   et al.
2015-01-08
Apparatus and methods for movable megasonic wafer probe
Grant 8,926,762 - Chien , et al. January 6, 2
2015-01-06
Semiconductor Devices Employing a Barrier Layer
App 20150001723 - Chien; Ying-Hsueh Chang ;   et al.
2015-01-01
Method of fabricating an integrated circuit device
Grant 08921177 -
2014-12-30
Ion implantation with charge and direction control
Grant 8,922,122 - Hwang , et al. December 30, 2
2014-12-30
Ion implantation with charge and direction control
Grant 08922122 -
2014-12-30
Method of fabricating an integrated circuit device
Grant 8,921,177 - Yeh , et al. December 30, 2
2014-12-30
Methods for fabricating and orienting semiconductor wafers
Grant 8,871,605 - Lin , et al. October 28, 2
2014-10-28
Semiconductor devices and methods of manufacture thereof
Grant 8,871,639 - Chien , et al. October 28, 2
2014-10-28
Beam Monitoring Device, Method, and System
App 20140306119 - Hwang; Chih-Hong ;   et al.
2014-10-16
Frame cell for shot layout flexibility
Grant 8,843,860 - Lin , et al. September 23, 2
2014-09-23
Ion Implantation
App 20140273420 - Cheng; Nai-Han ;   et al.
2014-09-18
Fine Temperature Controllable Wafer Heating System
App 20140273302 - Chien; Ying-Hsueh Chang ;   et al.
2014-09-18
Layer by Layer Electro Chemical Plating (ECP) Process
App 20140238864 - Lin; Su-Horng ;   et al.
2014-08-28
Substrate Rapid Thermal Heating System And Methods
App 20140235071 - CHENG; Nai-Han ;   et al.
2014-08-21
Bottom-Up PEALD Process
App 20140227861 - Wu; Lin-Jung ;   et al.
2014-08-14
High Throughput Cmp Platform
App 20140220863 - Wu; Jiann Lih ;   et al.
2014-08-07
In-Situ Charging Neutralization
App 20140210506 - Wu; Lin-Jung ;   et al.
2014-07-31
Wafer Processing System Using Multi-zone Chuck
App 20140202383 - CHENG; Nai-Han ;   et al.
2014-07-24
Method And Device For Cleaning A Brush Surface Having A Contamination
App 20140196744 - WU; Jiann-Lih ;   et al.
2014-07-17
Semiconductor Devices and Methods of Manufacture Thereof
App 20140191400 - Chien; Ying-Hsueh Chang ;   et al.
2014-07-10
Beam monitoring device, method, and system
Grant 8,766,207 - Hwang , et al. July 1, 2
2014-07-01
Finlike structures and methods of making same
Grant 8,759,173 - Yeh , et al. June 24, 2
2014-06-24
Apparatus And Method Of Cleaning Wafers
App 20140166055 - HUANG; Chia-Hung ;   et al.
2014-06-19
Metal Conductor Chemical Mechanical Polish
App 20140159243 - Huang; Soon-Kang ;   et al.
2014-06-12
System And Method Of Cleaning Foup
App 20140158172 - Hsu; Jyh-Shiou ;   et al.
2014-06-12
N/P METAL CRYSTAL ORIENTATION FOR HIGH-K METAL GATE Vt MODULATION
App 20140154848 - Lin; Su-Horng ;   et al.
2014-06-05
Multi-point Chemical Mechanical Polishing End Point Detection System And Method Of Using
App 20140148008 - WU; Jiann Lih ;   et al.
2014-05-29
Wafer processing method and system using multi-zone chuck
Grant 8,709,528 - Cheng , et al. April 29, 2
2014-04-29
Method Of Identifying Airborne Molecular Contamination Source
App 20140095083 - Chuang; Tzu-Sou ;   et al.
2014-04-03
N/P metal crystal orientation for high-K metal gate Vt modulation
Grant 8,674,451 - Lin , et al. March 18, 2
2014-03-18
Metal conductor chemical mechanical polish
Grant 8,673,783 - Kang , et al. March 18, 2
2014-03-18
System and method of ion beam source for semiconductor ion implantation
Grant 8,664,622 - Hwang , et al. March 4, 2
2014-03-04
In-situ backside cleaning of semiconductor substrate
Grant 8,657,963 - Yeh , et al. February 25, 2
2014-02-25
Finlike Structures And Methods Of Making Same
App 20140024187 - Yeh; Ming-Hsi ;   et al.
2014-01-23
Methods of fabricating metal hard masks
Grant 8,623,468 - Lin , et al. January 7, 2
2014-01-07
Integrated apparatus to assure wafer quality and manufacturability
Grant 8,616,821 - Ku , et al. December 31, 2
2013-12-31
Integrated apparatus to assure wafer quality and manufacturability
Grant 08616821 -
2013-12-31
Multi-ion beam implantation apparatus and method
Grant 8,592,785 - Cheng , et al. November 26, 2
2013-11-26
Apparatus for monitoring ion implantation
Grant 8,581,204 - Chang , et al. November 12, 2
2013-11-12
Ion Beam Dimension Control For Ion Implantation Process And Apparatus, And Advanced Process Control
App 20130295753 - HWANG; Chih-Hong ;   et al.
2013-11-07
Methods For Fabricating And Orienting Semiconductor Wafers
App 20130280922 - LIN; Chin-Ming ;   et al.
2013-10-24
Apparatus for Monitoring Ion Implantation
App 20130280823 - Chang; Chun-Lin ;   et al.
2013-10-24
Integration Of Bottom-up Metal Film Deposition
App 20130270617 - LIN; Simon Su-Horng ;   et al.
2013-10-17
System And Method Of Ion Beam Source For Semiconductor Ion Implantation
App 20130270454 - HWANG; Chih-Hong ;   et al.
2013-10-17
System And Method Of Ion Neutralization With Multiple-zoned Plasma Flood Gun
App 20130264498 - CHANG; Chun-Lin ;   et al.
2013-10-10
Finlike structures and methods of making same
Grant 8,541,270 - Yeh , et al. September 24, 2
2013-09-24
Manufacture And Method Of Making The Same
App 20130244552 - Lee; Bo-I ;   et al.
2013-09-19
Cleaning process for semiconductor device fabrication
Grant 8,518,634 - Yeh , et al. August 27, 2
2013-08-27
CMP Groove Depth and Conditioning Disk Monitoring
App 20130217306 - Wu; Jiann Lih ;   et al.
2013-08-22
Multiple Zone Temperature Control for CMP
App 20130210173 - Wu; Jiann Lih ;   et al.
2013-08-15
CMP Pad Cleaning Apparatus
App 20130210323 - Wu; Jiann Lih ;   et al.
2013-08-15
Metal Hard Mask Fabrication
App 20130174982 - Lin; Su-Horng ;   et al.
2013-07-11
Multi-zone Temperature Control For Semiconductor Wafer
App 20130171746 - Chang; Chun-Lin ;   et al.
2013-07-04
Wafer Processing Method And System Using Multi-zone Chuck
App 20130171336 - CHENG; Nai-Han ;   et al.
2013-07-04
Integration of bottom-up metal film deposition
Grant 8,466,063 - Lin , et al. June 18, 2
2013-06-18
Ion Implantation With Charge And Direction Control
App 20130140987 - Hwang; Chih-Hong ;   et al.
2013-06-06
Multi-factor Advanced Process Control Method And System For Integrated Circuit Fabrication
App 20130110276 - Cheng; Nai-Han ;   et al.
2013-05-02
Finlike Structures and Methods of Making Same
App 20130089958 - Yeh; Ming-Hsi ;   et al.
2013-04-11
Multi-ion Beam Implantation Apparatus And Method
App 20130075623 - CHENG; Nai-Han ;   et al.
2013-03-28
In-situ Backside Cleaning Of Semiconductor Substrate
App 20130074872 - Yeh; Ming-Hsi ;   et al.
2013-03-28
Beam Monitoring Device, Method, And System
App 20130075624 - Hwang; Chih-Hong ;   et al.
2013-03-28
Method And Apparatus For Performing A Polishing Process In Semiconductor Fabrication
App 20130078810 - Lee; Bo-I ;   et al.
2013-03-28
Multi-surface Armrest
App 20130076097 - CHEN; Yu-Ting ;   et al.
2013-03-28
Multi-zone temperature control for semiconductor wafer
Grant 8,404,572 - Chang , et al. March 26, 2
2013-03-26
Semiconductor Device Cleaning Method
App 20130068248 - Yeh; Ming-Hsi ;   et al.
2013-03-21
Apparatus for Monitoring Ion Implantation
App 20130068960 - Chang; Chun-Lin ;   et al.
2013-03-21
Apparatus and Methods for Movable Megasonic Wafer Probe
App 20130056031 - Chien; Ying-Hsueh Chang ;   et al.
2013-03-07
Method And Structure For Advanced Semiconductor Channel Substrate Materials
App 20130052813 - YEH; Ming-Hsi ;   et al.
2013-02-28
Apparatus and Methods for Real-Time Error Detection in CMP Processing
App 20130044004 - Hwang; James Jeng-Jyi ;   et al.
2013-02-21
Semiconductor Device Cleaning Method And Apparatus
App 20130045606 - Yeh; Ming-Hsi ;   et al.
2013-02-21
Chemical Dispersion Method And Device
App 20130034966 - Yeh; Ming-Hsi ;   et al.
2013-02-07
Method Of Fabricating An Integrated Circuit Device
App 20130023094 - YEH; Ming-Hsi ;   et al.
2013-01-24
Method of fabricating epitaxial structures
Grant 8,357,574 - Yeh , et al. January 22, 2
2013-01-22
Armrest Adjustment Member
App 20120242132 - Chen; Yu-Ting ;   et al.
2012-09-27
Cleaning Process For Semiconductor Device Fabrication
App 20120202156 - Yeh; Ming-Hsi ;   et al.
2012-08-09
Device with aluminum surface protection
Grant 8,237,231 - Huang , et al. August 7, 2
2012-08-07
RTP spike annealing for semiconductor substrate dopant activation
Grant 8,232,114 - Lin , et al. July 31, 2
2012-07-31
Frame Cell For Shot Layout Flexibility
App 20120181669 - LIN; Chin-Ming ;   et al.
2012-07-19
Method of fabricating gate electrode using a treated hard mask
Grant 8,173,504 - Yeh , et al. May 8, 2
2012-05-08
Stand
Grant D658,170 - Chen , et al. April 24, 2
2012-04-24
Method Of Fabricating Epitaxial Structures
App 20120094448 - YEH; Ming-Hsi ;   et al.
2012-04-19
Device With Aluminum Surface Protection
App 20120086075 - HUANG; Kuo Bin ;   et al.
2012-04-12
Integration Of Bottom-up Metal Film Deposition
App 20120064715 - LIN; Simon Su-Horng ;   et al.
2012-03-15
Integrated Apparatus To Assure Wafer Quality And Manufacturability
App 20120051872 - Ku; Shao-Yen ;   et al.
2012-03-01
High temperature anneal for aluminum surface protection
Grant 8,119,473 - Huang , et al. February 21, 2
2012-02-21
Nitride film wet stripping
Grant 8,105,851 - Ku , et al. January 31, 2
2012-01-31
Metal Conductor Chemical Mechanical Polish
App 20120001262 - Kang; Huang Soon ;   et al.
2012-01-05
Integration of bottom-up metal film deposition
Grant 8,088,685 - Lin , et al. January 3, 2
2012-01-03
Method Of Fabricating Gate Electrode Using A Treated Hard Mask
App 20110250725 - YEH; Matt ;   et al.
2011-10-13
Self-service sources for secure search
Grant 8,027,982 - Ture , et al. September 27, 2
2011-09-27
Integration Of Bottom-up Metal Film Deposition
App 20110195570 - Lin; Simon Su-Horng ;   et al.
2011-08-11
High Temperature Anneal for Aluminum Surface Protection
App 20110156166 - Huang; Kuo Bin ;   et al.
2011-06-30
Index replication using crawl modification information
Grant 7,945,533 - Krishnaprasad , et al. May 17, 2
2011-05-17
Methods For Forming Integrated Circuits
App 20110086504 - HUANG; Kuo Bin ;   et al.
2011-04-14
Multi-zone Semiconductor Furnace
App 20100240224 - Wu; Hsin-Hsien ;   et al.
2010-09-23
Multi-zone Temperature Control For Semiconductor Wafer
App 20100210041 - Chang; Chun-Lin ;   et al.
2010-08-19
Method of fabricating high-k metal gate devices
Grant 7,776,757 - Lin , et al. August 17, 2
2010-08-17
Rtp Spike Annealing For Semiconductor Substrate Dopant Activation
App 20100190274 - LIN; Chin-Ming ;   et al.
2010-07-29
Method Of Fabricating High-k Metal Gate Devices
App 20100178772 - Lin; Simon Su-Horng ;   et al.
2010-07-15
Inductive Plasma Doping
App 20100167506 - LIN; Simon Su-Horng ;   et al.
2010-07-01
Spinner And Method Of Cleaning Substrate Using The Spinner
App 20100163078 - Hsu; Tzu-Jeng ;   et al.
2010-07-01
N/P METAL CRYSTAL ORIENTATION FOR HIGH-K METAL GATE Vt MODULATION
App 20100140716 - Lin; Simon Su-Horng ;   et al.
2010-06-10
Method And Apparatus For Cleaning Semiconductor Device Fabrication Equipment Using Supercritical Fluids
App 20100126531 - KU; Shao-Yen ;   et al.
2010-05-27
Gate Structure Including Modified High-k Gate Dielectric And Metal Gate Interface
App 20100109098 - Lin; Simon Su-Horng ;   et al.
2010-05-06
Method for fabricating nitrided oxide layer
App 20080194091 - Lin; Su-Horng ;   et al.
2008-08-14
Structure for high voltage bearable transformers
Grant 7,342,478 - Chan , et al. March 11, 2
2008-03-11
High voltage transformer for controlling inductance leakage
Grant 7,301,430 - Chan , et al. November 27, 2
2007-11-27
High Voltage Transformer For Controlling Inductance Leakage
App 20070268104 - Chan; Chun-Kong ;   et al.
2007-11-22
Transformer having a closed magnetic flux path
App 20070262842 - Chan; Chun-Kong ;   et al.
2007-11-15
Structure for high voltage bearable transformers
App 20070262843 - Chan; Chun-Kong ;   et al.
2007-11-15
Flexible Authorization Model for Secure Search
App 20070214129 - Ture; Mark ;   et al.
2007-09-13
Index replication using crawl modification information
App 20070208716 - Krishnaprasad; Muralidhar ;   et al.
2007-09-06
Secure Search Performance Improvement
App 20070208746 - Koide; Hiroshi ;   et al.
2007-09-06
Flexible Authentication Framework
App 20070208744 - Krishnaprasad; Muralidhar ;   et al.
2007-09-06
Self-Service Sources for Secure Search
App 20070208745 - Ture; Mark ;   et al.
2007-09-06
Balanced transformer having an auxiliary coil
App 20070139152 - Chan; Chun-Kong ;   et al.
2007-06-21
Chlorophyll and its derivatives for cancer photodynamic therapy
App 20050222117 - Hsu, Yih-Chih ;   et al.
2005-10-06
Antioxidants
App 20040077621 - Yang, Chi-Ming
2004-04-22
Electromagnetic mark device for a magnetism encoder
Grant 6,687,111 - Jiang , et al. February 3, 2
2004-02-03
Amorphizing ion implant local oxidation of silicon (LOCOS) method for forming an isolation region
Grant 6,686,255 - Yang , et al. February 3, 2
2004-02-03
Measuring system and method for detecting object distance by transmitted media with different wave velocities
Grant 6,680,688 - Jiang , et al. January 20, 2
2004-01-20
Method for controlling field flow decouple plating and a device thereof
App 20030075449 - Jiang, Shyh Biau ;   et al.
2003-04-24
Electromagnetic mark device for a magnetism encorder
App 20030076091 - Jiang, Shyh Biau ;   et al.
2003-04-24
Amorphizing ion implant local oxidation of silicon (LOCOS) method for forming an isolation region
App 20030022461 - Yang, Chi-Ming ;   et al.
2003-01-30
Process for extracting antioxidants from anaerobically fermented solid plant waste and extracts prepared thereby
App 20010031284 - Wen, Jih-Shen ;   et al.
2001-10-18
Germanium and arsenic double implanted pre-amorphization process for salicide technology
Grant 6,030,863 - Chang , et al. February 29, 2
2000-02-29

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed