loadpatents
name:-0.081244945526123
name:-0.088757038116455
name:-0.018780946731567
Yang; Chan-Lon Patent Filings

Yang; Chan-Lon

Patent Applications and Registrations

Patent applications and USPTO patent grants for Yang; Chan-Lon.The latest application filed is for "power rails for stacked semiconductor device".

Company Profile
16.91.77
  • Yang; Chan-Lon - Taipei TW
  • YANG; Chan-Lon - Taipei City TW
  • Yang; Chan-Lon - Hsinchu TW
  • Yang; Chan-Lon - Hsin-Chu TW
  • - Taipei TW
  • YANG; Chan-Lon - Tainan County TW
  • Yang; Chan-Lon - Los Gatos CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Epitaxial growth methods and structures thereof
Grant 11,456,360 - Ueno , et al. September 27, 2
2022-09-27
Field effect transistors with negative capacitance layers
Grant 11,437,371 - Yang , et al. September 6, 2
2022-09-06
Power Rails For Stacked Semiconductor Device
App 20220270935 - YANG; Chansyun David ;   et al.
2022-08-25
Plasma-assisted Etching Of Metal Oxides
App 20220216064 - YANG; Chansyun David ;   et al.
2022-07-07
Radical-activated Etching Of Metal Oxides
App 20220199457 - YANG; Chansyun David ;   et al.
2022-06-23
Power rails for stacked semiconductor device
Grant 11,335,606 - Yang , et al. May 17, 2
2022-05-17
Plasma-assisted etching of metal oxides
Grant 11,282,711 - Yang , et al. March 22, 2
2022-03-22
Radical-activated etching of metal oxides
Grant 11,276,604 - Yang , et al. March 15, 2
2022-03-15
Power Rails For Stacked Semiconductor Device
App 20220059414 - YANG; Chansyun David ;   et al.
2022-02-24
Laser Interference Fringe Control For Higher Euv Light Source And Euv Throughput
App 20220035253 - YANG; Chansyun David ;   et al.
2022-02-03
Plasma-assisted Etching Of Metal Oxides
App 20220037163 - YANG; Chansyun David ;   et al.
2022-02-03
Field Effect Transistors With Negative Capacitance Layers
App 20220013652 - Yang; Chansyun David ;   et al.
2022-01-13
Field Effect Transistor With Negative Capacitance Dielectric Structures
App 20210384323 - YANG; Chansyun David ;   et al.
2021-12-09
Stacked Semiconductor Device
App 20210376137 - YANG; Chansyun David ;   et al.
2021-12-02
Laser interference fringe control for higher EUV light source and EUV throughput
Grant 11,150,559 - Yang , et al. October 19, 2
2021-10-19
Semiconductor Device And Manufacturing Method Thereof
App 20210305409 - WU; Zhi-Qiang ;   et al.
2021-09-30
Field effect transistor with negative capacitance dieletric structures
Grant 11,114,547 - Yang , et al. September 7, 2
2021-09-07
Semiconductor structure and fabrication method thereof
Grant 11,075,282 - Liu , et al. July 27, 2
2021-07-27
Laser Interference Fringe Control For Higher Euv Light Source And Euv Throughput
App 20210200102 - YANG; Chansyun David ;   et al.
2021-07-01
Semiconductor device and manufacturing method thereof
Grant 11,024,721 - Wu , et al. June 1, 2
2021-06-01
Field Effect Transistor With Negative Capacitance Dieletric Structures
App 20210083074 - YANG; Chansyun David ;   et al.
2021-03-18
Method For Manufacturing Semiconductor Device
App 20210050451 - LIN; Che-Yu ;   et al.
2021-02-18
Semiconductor Device And Manufacturing Method Thereof
App 20210036154 - LI; Kun-Mu ;   et al.
2021-02-04
Controlling profiles of replacement gates
Grant 10,868,139 - Lin , et al. December 15, 2
2020-12-15
Semiconductor device having fins
Grant 10,811,537 - Lin , et al. October 20, 2
2020-10-20
Epitaxial Growth Methods And Structures Thereof
App 20200279920 - UENO; Tetsuji ;   et al.
2020-09-03
Semiconductor device and manufacturing method thereof
Grant 10,749,029 - Li , et al. A
2020-08-18
Controlling profiles of replacement gates
Grant 10,658,491 - Lin , et al.
2020-05-19
Epitaxial growth methods and structures thereof
Grant 10,658,468 - Ueno , et al.
2020-05-19
Semiconductor Structure And Fabrication Method Thereof
App 20200111893 - LIU; Kuo-An ;   et al.
2020-04-09
Semiconductor Device And Manufacturing Method Thereof
App 20200098890 - WU; Zhi-Qiang ;   et al.
2020-03-26
Controlling Profiles of Replacement Gates
App 20200006527 - Lin; Chih-Han ;   et al.
2020-01-02
Semiconductor Structure And Fabrication Method Thereof
App 20190386114 - LIU; Kuo-An ;   et al.
2019-12-19
Controlling Profiles of Replacement Gates
App 20190386115 - Lin; Chih-Han ;   et al.
2019-12-19
Semiconductor structure and fabrication method thereof
Grant 10,510,866 - Liu , et al. Dec
2019-12-17
Epitaxial growth methods and structures thereof
Grant 10,453,925 - Ueno , et al. Oc
2019-10-22
Semiconductor Device And Manufacturing Method Thereof
App 20190123201 - LI; Kun-Mu ;   et al.
2019-04-25
Multiple gate field effect transistors having oxygen-scavenged gate stack
Grant 10,263,091 - Yeo , et al.
2019-04-16
Epitaxial Growth Methods And Structures Thereof
App 20190109194 - Ueno; Tetsuji ;   et al.
2019-04-11
Semiconductor device and manufacturing method thereof
Grant 10,164,096 - Li , et al. Dec
2018-12-25
Semiconductor Device Having Fins
App 20180342621 - LIN; Che-Yu ;   et al.
2018-11-29
Fin structure of semiconductor device, manufacturing method thereof, and manufacturing method of active region of semiconductor device
Grant 10,026,843 - Lin , et al. July 17, 2
2018-07-17
Epitaxial Growth Methods And Structures Thereof
App 20170221709 - Ueno; Tetsuji ;   et al.
2017-08-03
Fin Structure Of Semiconductor Device, Manufacturing Method Thereof, And Manufacturing Method Of Active Region Of Semiconductor Device
App 20170154996 - LIN; Che-Yu ;   et al.
2017-06-01
Multiple gate field-effect transistors having oxygen-scavenged gate stack
Grant 9,659,780 - Yeo , et al. May 23, 2
2017-05-23
Multiple Gate Field Effect Transistors Having Oxygen-Scavenged Gate Stack
App 20170092732 - Yeo; Yee-Chia ;   et al.
2017-03-30
Semiconductor Device And Manufacturing Method Thereof
App 20170054023 - LI; Kun-Mu ;   et al.
2017-02-23
Multiple gate field-effect transistors having oxygen-scavenged gate stack
Grant 9,564,489 - Yeo , et al. February 7, 2
2017-02-07
Multi-Gate Field Effect Transistors Having Oxygen-Scavenged Gate Stack
App 20160379831 - Yeo; Yee-Chia ;   et al.
2016-12-29
Multiple Gate Field-effect Transistors Having Oxygen-scavenged Gate Stack
App 20160380056 - Yeo; Yee-Chia ;   et al.
2016-12-29
Metal Gate Structure And Manufacturing Method Thereof
App 20150380512 - Yang; Chan-Lon ;   et al.
2015-12-31
Metal gate structure and manufacturing method thereof
Grant 9,166,020 - Yang , et al. October 20, 2
2015-10-20
Semiconductor Process
App 20150104914 - Yang; Chan-Lon ;   et al.
2015-04-16
Semiconductor device having metal gate and manufacturing method thereof
Grant 8,999,830 - Liao , et al. April 7, 2
2015-04-07
Metal gate transistor and method for fabricating the same
Grant 8,980,753 - Wang , et al. March 17, 2
2015-03-17
Semiconductor device having metal gate and manufacturing method thereof
Grant 8,952,451 - Liao , et al. February 10, 2
2015-02-10
Polysilicon Layer
App 20150021776 - Lin; Chien-Liang ;   et al.
2015-01-22
Semiconductor process
Grant 8,921,206 - Yang , et al. December 30, 2
2014-12-30
Semiconductor process
Grant 08921206 -
2014-12-30
Polysilicon layer and method of forming the same
Grant 8,895,435 - Lin , et al. November 25, 2
2014-11-25
Strained silicon channel semiconductor structure
Grant 8,853,740 - Yang , et al. October 7, 2
2014-10-07
Method of manufacturing semiconductor device having metal gates
Grant 8,802,524 - Liao , et al. August 12, 2
2014-08-12
Semiconductor process
Grant 8,765,588 - Huang , et al. July 1, 2
2014-07-01
Semiconductor Device Having Metal Gate And Manufacturing Method Thereof
App 20140127892 - Liao; Po-Jui ;   et al.
2014-05-08
Semiconductor device having metal gate and manufacturing method thereof
Grant 8,704,294 - Liao , et al. April 22, 2
2014-04-22
Semiconductor Device Having Metal Gate And Manufacturing Method Thereof
App 20140103443 - Liao; Po-Jui ;   et al.
2014-04-17
Semiconductor Process
App 20130337622 - Yang; Chan-Lon ;   et al.
2013-12-19
Method of manufacturing semiconductor device having metal gate
Grant 8,574,990 - Liao , et al. November 5, 2
2013-11-05
Semiconductor device and manufacturing method thereof
Grant 8,575,043 - Yang , et al. November 5, 2
2013-11-05
Strained Silicon Channel Semiconductor Structure
App 20130256701 - Yang; Chan-Lon ;   et al.
2013-10-03
Semiconductor process
Grant 8,536,072 - Yang , et al. September 17, 2
2013-09-17
Semiconductor Process
App 20130203226 - Yang; Chan-Lon ;   et al.
2013-08-08
Method of making strained silicon channel semiconductor structure
Grant 8,476,169 - Yang , et al. July 2, 2
2013-07-02
Semiconductor Process
App 20130137243 - Yang; Chan-Lon ;   et al.
2013-05-30
Strained Silicon Channel Semiconductor Structure and Method of Making the Same
App 20130092954 - Yang; Chan-Lon ;   et al.
2013-04-18
Semiconductor Process
App 20130078792 - Huang; Pong-Wey ;   et al.
2013-03-28
Method of fabricating complementary metal-oxide-semiconductor (CMOS) device
Grant 8,404,591 - Yeh , et al. March 26, 2
2013-03-26
Semiconductor Device And Manufacturing Method Thereof
App 20130026543 - Yang; Chan-Lon ;   et al.
2013-01-31
Method For Fabricating Semiconductor Device By Using Stress Memorization Technique
App 20130023103 - YANG; Chan-Lon ;   et al.
2013-01-24
Fabricating Method Of Mos Transistor, Fin Field-effect Transistor And Fabrication Method Thereof
App 20130001707 - Lin; Chien-Liang ;   et al.
2013-01-03
Semiconductor Device Having Metal Gate And Manufacturing Method Thereof
App 20120313178 - Liao; Po-Jui ;   et al.
2012-12-13
Method For Fabricating Semiconductor Device
App 20120315734 - Yang; Chan-Lon ;   et al.
2012-12-13
Semiconductor process having dielectric layer including metal oxide and MOS transistor process
Grant 8,329,597 - Yang , et al. December 11, 2
2012-12-11
Method For Fabricating Semiconductor Device
App 20120309171 - Lu; Tsuo-Wen ;   et al.
2012-12-06
Method of fabricating a semiconductor structure
Grant 8,324,059 - Guo , et al. December 4, 2
2012-12-04
Method of etching sacrificial layer
Grant 8,298,950 - Yang , et al. October 30, 2
2012-10-30
Method Of Fabricating A Semiconductor Structure
App 20120270377 - Guo; Ted Ming-Lang ;   et al.
2012-10-25
Method of Manufacturing Semiconductor Device Having Metal Gates
App 20120244669 - Liao; Po-Jui ;   et al.
2012-09-27
Method Of Fabricating Complementary Metal-oxide-semiconductor (cmos) Device
App 20120238065 - YEH; Chiu-Hsien ;   et al.
2012-09-20
Semiconductor Process Having Dielectric Layer Including Metal Oxide And Mos Transistor Process
App 20120231600 - Yang; Chan-Lon ;   et al.
2012-09-13
Metal Gate Structure And Manufacturing Method Thereof
App 20120223397 - Yang; Chan-Lon ;   et al.
2012-09-06
Method of Manufacturing Semiconductor Device Having Metal Gate
App 20120220113 - Liao; Po-Jui ;   et al.
2012-08-30
Method for removing photoresist
Grant 8,252,515 - Chien , et al. August 28, 2
2012-08-28
Polysilicon Layer And Method Of Forming The Same
App 20120193796 - Lin; Chien-Liang ;   et al.
2012-08-02
Method of fabricating complementary metal-oxide-semiconductor (CMOS) device
Grant 8,211,801 - Yeh , et al. July 3, 2
2012-07-03
Metal Gate Transistor And Method For Fabricating The Same
App 20120070995 - Wang; Yeng-Peng ;   et al.
2012-03-22
Method Of Fabricating Complementary Metal-oxide-semiconductor (cmos) Device
App 20120058634 - YEH; Chiu-Hsien ;   et al.
2012-03-08
Method Of Etching Sacrificial Layer
App 20120003835 - YANG; Chan-Lon ;   et al.
2012-01-05
Self-aligned contact set
Grant 8,058,733 - Yang November 15, 2
2011-11-15
Thermal Process
App 20110177665 - Yang; Chan-Lon ;   et al.
2011-07-21
Method For Removing Photoresist
App 20110086499 - Chien; Chin-Cheng ;   et al.
2011-04-14
Self-aligned Contact
App 20100264550 - YANG; Chan-Lon
2010-10-21
Thermal Processing Method
App 20100255666 - YANG; Chan-Lon ;   et al.
2010-10-07
Method of fabricating self-aligned contact
Grant 7,772,064 - Yang August 10, 2
2010-08-10
Method Of Fabricating Self-aligned Contact
App 20080217788 - Yang; Chan-Lon
2008-09-11
Rapid Thermal Process Method And Rapid Thermal Process Device
App 20080210667 - Yang; Chan-lon ;   et al.
2008-09-04
Methods for cleaning contact openings to reduce contact resistance
Grant 7,253,094 - Zhang , et al. August 7, 2
2007-08-07
Gate etch process
Grant 7,112,834 - Schwarz , et al. September 26, 2
2006-09-26
In situ hard mask approach for self-aligned contact etch
Grant 7,078,334 - Chowdhury , et al. July 18, 2
2006-07-18
Aluminum-filled via structure with barrier layer
Grant 6,977,217 - Ben-Tzur , et al. December 20, 2
2005-12-20
Methods of forming semiconductor structures having reduced defects, and articles and devices formed thereby
Grant 6,890,859 - Bamnolker , et al. May 10, 2
2005-05-10
Gate etch process
Grant 6,699,795 - Schwarz , et al. March 2, 2
2004-03-02
Method of cleaning a dual damascene structure
Grant 6,635,565 - Wu , et al. October 21, 2
2003-10-21
Plasma reactor using inductive RF coupling, and processes
Grant 6,545,420 - Collins , et al. April 8, 2
2003-04-08
Method of forming dual damascene structure
Grant 6,528,428 - Chen , et al. March 4, 2
2003-03-04
Plasma reactor using inductive RF coupling, and processes
Grant 6,518,195 - Collins , et al. February 11, 2
2003-02-11
Magnetic confinement in a plasma reactor having an RF bias electrode
Grant 6,488,807 - Collins , et al. December 3, 2
2002-12-03
Structure and method for making a notched transistor with spacers
Grant 6,461,904 - Jin , et al. October 8, 2
2002-10-08
Method for processing substrates using gaseous silicon scavenger
Grant 6,444,137 - Collins , et al. September 3, 2
2002-09-03
Method for avoiding erosion of conductor structure during removing etching residues
App 20020115296 - Wu, Chih-Ning ;   et al.
2002-08-22
Method of cleaning a dual damascene structure
App 20020115284 - Wu, Chih-Ning ;   et al.
2002-08-22
Method for removing etching residues
App 20020113037 - Wu, Chih-Ning ;   et al.
2002-08-22
Post Metal Etch Cleaning Method
App 20020111033 - Wu, Chih-Ning ;   et al.
2002-08-15
Method for raising etching selectivity of oxide to photoresist
App 20020102500 - Hung, Lian-Fa ;   et al.
2002-08-01
Plasma etching method
Grant 6,406,640 - Yang , et al. June 18, 2
2002-06-18
High temperature silicon surface providing high selectivity in an oxide etch process
Grant 6,399,514 - Marks , et al. June 4, 2
2002-06-04
Shrinking equal effect critical dimension of mask by in situ polymer deposition and etching
Grant 6,368,974 - Tsai , et al. April 9, 2
2002-04-09
Processes Used In An Inductively Coupled Plasma Reactor
App 20020004309 - COLLINS, KENNETH S. ;   et al.
2002-01-10
Method for high-density plasma etching
Grant 6,307,174 - Yang , et al. October 23, 2
2001-10-23
Method for cleaning the surface of a semiconductor wafer
Grant 6,303,482 - Wu , et al. October 16, 2
2001-10-16
Method For Controlling Critical Dimension Of Contact Opening
App 20010023132 - CHEN, TONG-YU ;   et al.
2001-09-20
Method Of Manufacturing Metallic Interconnects
App 20010014529 - CHEN, TONG-YU ;   et al.
2001-08-16
Method for removing photoresist layer
App 20010005638 - Yang, Chan-Lon ;   et al.
2001-06-28
Eliminating etching microloading effect by in situ deposition and etching
Grant 6,251,791 - Tsai , et al. June 26, 2
2001-06-26
Plasma etch processes
Grant 6,251,792 - Collins , et al. June 26, 2
2001-06-26
Method of cleaning the polymer from within holes on a semiconductor wafer
Grant 6,221,772 - Yang , et al. April 24, 2
2001-04-24
Method for removing photoresist layer
Grant 6,218,084 - Yang , et al. April 17, 2
2001-04-17
Method and apparatus for depositing an etch stop layer
Grant 6,209,484 - Huang , et al. April 3, 2
2001-04-03
Oxide etch process with high selectivity to nitride suitable for use on surfaces of uneven topography
Grant 6,194,325 - Yang , et al. February 27, 2
2001-02-27
Process for low k organic dielectric film etch
Grant 6,184,142 - Chung , et al. February 6, 2
2001-02-06
Oxide etch process with high selectivity to nitride suitable for use on surfaces of uneven topography
Grant 6,184,150 - Yang , et al. February 6, 2
2001-02-06
Method and apparatus for depositing an etch stop layer
Grant 6,127,262 - Huang , et al. October 3, 2
2000-10-03
Process used in an RF coupled plasma reactor
Grant 6,068,784 - Collins , et al. May 30, 2
2000-05-30
Plasma reactor with heated source of a polymer-hardening precursor material
Grant 6,036,877 - Collins , et al. March 14, 2
2000-03-14
Plasma reactor with heated source of a polymer-hardening precursor material
Grant 6,024,826 - Collins , et al. February 15, 2
2000-02-15
Plasma reactor and processes using RF inductive coupling and scavenger temperature control
Grant 5,888,414 - Collins , et al. March 30, 1
1999-03-30
Silicon scavenger in an inductively coupled RF plasma reactor
Grant 5,556,501 - Collins , et al. September 17, 1
1996-09-17
Selectivity for etching an oxide over a nitride
Grant 5,423,945 - Marks , et al. June 13, 1
1995-06-13
Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
Grant 5,312,778 - Collins , et al. May 17, 1
1994-05-17
UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
Grant 5,300,460 - Collins , et al. April 5, 1
1994-04-05
VHF/UHF reactor system
Grant 5,210,466 - Collins , et al. May 11, 1
1993-05-11

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed