name:-0.13269519805908
name:-0.17230296134949
name:-0.18721604347229
Xu; Wenyu Patent Filings

Xu; Wenyu

Patent Applications and Registrations

Patent applications and USPTO patent grants for Xu; Wenyu.The latest application filed is for "nonmetallic liner around a magnetic tunnel junction".

Company Profile
172.159.157
  • Xu; Wenyu - Albany NY
  • XU; Wenyu - Nanjing CN
  • Xu; Wenyu - Jiangsu CN
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Trademarks
Patent Activity
PatentDate
Nonmetallic Liner Around A Magnetic Tunnel Junction
App 20220285606 - Li; Tao ;   et al.
2022-09-08
VFET device with controllable top spacer
Grant 11,430,864 - Xu , et al. August 30, 2
2022-08-30
Hybrid-channel Nano-sheet Fets
App 20220157666 - Bi; Zhenxing ;   et al.
2022-05-19
Hybrid-channel nano-sheet FETS
Grant 11,276,612 - Bi , et al. March 15, 2
2022-03-15
3D ReRAM formed by metal-assisted chemical etching with replacement wordline and wordline separation
Grant 11,196,001 - Miao , et al. December 7, 2
2021-12-07
Fin field effect transistor devices with self-aligned gates
Grant 11,183,389 - Xu , et al. November 23, 2
2021-11-23
Method of forming III-V on insulator structure on semiconductor substrate
Grant 11,164,940 - Cheng , et al. November 2, 2
2021-11-02
Vertical field effect transistors with self aligned source/drain junctions
Grant 11,107,905 - Miao , et al. August 31, 2
2021-08-31
Junction formation in thick-oxide and thin-oxide vertical FETs on the same chip
Grant 11,101,181 - Miao , et al. August 24, 2
2021-08-24
Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain
Grant 11,081,400 - Cheng , et al. August 3, 2
2021-08-03
Fabrication of vertical fin field effect transistors having top air spacers and a self aligned top junction
Grant 11,081,482 - Cheng , et al. August 3, 2
2021-08-03
Single electron transistor with gap tunnel barriers
Grant 11,069,800 - Cheng , et al. July 20, 2
2021-07-20
Inner spacer and junction formation for integrating extended-gate and standard-gate nanosheet transistors
Grant 11,062,959 - Cheng , et al. July 13, 2
2021-07-13
Flipped vertical field-effect-transistor
Grant 11,062,965 - Cheng , et al. July 13, 2
2021-07-13
Non-planar field effect transistor devices with low-resistance metallic gate structures
Grant 11,049,935 - Cheng , et al. June 29, 2
2021-06-29
Non-planar field effect transistor devices with low-resistance metallic gate structures
Grant 11,038,015 - Cheng , et al. June 15, 2
2021-06-15
3D ReRAM FORMED BY METAL-ASSISTED CHEMICAL ETCHING WITH REPLACEMENT WORDLINE AND WORDLINE SEPARATION
App 20210159409 - Miao; Xin ;   et al.
2021-05-27
Vertical Field-effect Transistor Late Gate Recess Process With Improved Inter-layer Dielectric Protection
App 20210151583 - XU; Wenyu ;   et al.
2021-05-20
Nanosheet Transistors With Strained Channel Regions
App 20210151601 - Miao; Xin ;   et al.
2021-05-20
Semiconductor wafer having integrated circuits with bottom local interconnects
Grant 11,011,411 - Zhang , et al. May 18, 2
2021-05-18
Replacement sacrificial nanosheets having improved etch selectivity
Grant 10,991,798 - Xu , et al. April 27, 2
2021-04-27
Vertical Transistor Devices With Composite High-k And Low-k Spacers With A Controlled Top Junction
App 20210119043 - Cheng; Kangguo ;   et al.
2021-04-22
Single Diffusion Break Isolation For Gate-all-around Field-effect Transistor Devices
App 20210118878 - Xu; Wenyu ;   et al.
2021-04-22
Vertical field effect transistor replacement metal gate fabrication
Grant 10,985,073 - Xie , et al. April 20, 2
2021-04-20
Single diffusion break isolation for gate-all-around field-effect transistor devices
Grant 10,985,161 - Xu , et al. April 20, 2
2021-04-20
High Mobility Complementary Metal-oxide-semiconductor (cmos) Devices With Fins On Insulator
App 20210111195 - Miao; Xin ;   et al.
2021-04-15
High mobility complementary metal-oxide-semiconductor (CMOS) devices with fins on insulator
Grant 10,971,522 - Miao , et al. April 6, 2
2021-04-06
Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between adjacent gates
Grant 10,971,585 - Lee , et al. April 6, 2
2021-04-06
Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain
Grant 10,964,601 - Cheng , et al. March 30, 2
2021-03-30
Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain
Grant 10,964,602 - Cheng , et al. March 30, 2
2021-03-30
Self-aligned fin recesses in nanosheet field effect transistors
Grant 10,957,601 - Bi , et al. March 23, 2
2021-03-23
Nanosheet transistors with transverse strained channel regions
Grant 10,957,798 - Miao , et al. March 23, 2
2021-03-23
Fin cut etch process for vertical transistor devices
Grant 10,957,783 - Xu , et al. March 23, 2
2021-03-23
Self-aligned source/drain contact for vertical field effect transistor
Grant 10,944,013 - Xu , et al. March 9, 2
2021-03-09
Vertical field-effect transistor late gate recess process with improved inter-layer dielectric protection
Grant 10,937,890 - Xu , et al. March 2, 2
2021-03-02
Vertical transistor devices with composite high-K and low-K spacers with a controlled top junction
Grant 10,930,778 - Cheng , et al. February 23, 2
2021-02-23
Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between gates
Grant 10,930,756 - Bi , et al. February 23, 2
2021-02-23
Fin field effect transistor devices with modified spacer and gate dielectric thicknesses
Grant 10,910,372 - Miao , et al. February 2, 2
2021-02-02
Nanosheet with changing SiGe percentage for SiGe lateral recess
Grant 10,910,482 - Cheng , et al. February 2, 2
2021-02-02
Fin field effect transistor devices with modified spacer and gate dielectric thicknesses
Grant 10,903,212 - Miao , et al. January 26, 2
2021-01-26
Air gap spacer with wrap-around etch stop layer under gate spacer
Grant 10,903,337 - Zhang , et al. January 26, 2
2021-01-26
Positioning air-gap spacers in a transistor for improved control of parasitic capacitance
Grant 10,903,331 - Loubet , et al. January 26, 2
2021-01-26
Vertical fin field effect transistor with reduced gate length variations
Grant 10,903,358 - Zhang , et al. January 26, 2
2021-01-26
High threshold voltage FET with the same fin height as regular threshold voltage vertical FET
Grant 10,903,123 - Miao , et al. January 26, 2
2021-01-26
Phase change memory (PCM) with gradual reset characteristics
Grant 10,902,910 - Cheng , et al. January 26, 2
2021-01-26
Vertical Field Effect Transistor Replacement Metal Gate Fabrication
App 20210013106 - Xie; Ruilong ;   et al.
2021-01-14
Single-electron transistor with wrap-around gate
Grant 10,886,391 - Cheng , et al. January 5, 2
2021-01-05
Fabrication of a vertical fin field effect transistor (vertical finFET) with a self-aligned gate and fin edges
Grant 10,886,384 - Cheng , et al. January 5, 2
2021-01-05
Phase Change Memory (pcm) With Gradual Reset Characteristics
App 20200411087 - Cheng; Kangguo ;   et al.
2020-12-31
Single Diffusion Break Isolation For Gate-all-around Field-effect Transistor Devices
App 20200381426 - Xu; Wenyu ;   et al.
2020-12-03
Selectively formed gate sidewall spacer
Grant 10,833,176 - Cheng , et al. November 10, 2
2020-11-10
III-V segmented finFET free of wafer bonding
Grant 10,833,158 - Miao , et al. November 10, 2
2020-11-10
Vertical field effect transistor with uniform gate length
Grant 10,811,495 - Cheng , et al. October 20, 2
2020-10-20
Stacked Vertical Transport Field Effect Transistors With Anchors
App 20200328206 - Zhang; Chen ;   et al.
2020-10-15
Vertical Field-effect Transistor Late Gate Recess Process With Improved Inter-layer Dielectric Protection
App 20200321448 - XU; Wenyu ;   et al.
2020-10-08
Vertical field effect transistor (FET) with controllable gate length
Grant 10,796,967 - Cheng , et al. October 6, 2
2020-10-06
Positioning Air-gap Spacers In A Transistor For Improved Control Of Parasitic Capacitance
App 20200312977 - Loubet; Nicolas ;   et al.
2020-10-01
Semiconductor Wafer Having Integrated Circuits With Bottom Local Interconnects
App 20200303244 - Zhang; Chen ;   et al.
2020-09-24
Nanosheet with changing SiGe pecentage for SiGe lateral recess
Grant 10,784,364 - Cheng , et al. Sept
2020-09-22
Fin Field Effect Transistor Devices With Self-aligned Gates
App 20200294803 - Xu; Wenyu ;   et al.
2020-09-17
Hybrid high mobility channel transistors
Grant 10,741,557 - Miao , et al. A
2020-08-11
Nanosheet Transistors With Strained Channel Regions
App 20200251593 - Kind Code
2020-08-06
Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain
Grant 10,734,287 - Cheng , et al.
2020-08-04
Metal gate structure having gate metal layer with a top portion width smaller than a bottom portion width to reduce transistor gate resistance
Grant 10,734,501 - Miao , et al.
2020-08-04
Replacement Sacrificial Nanosheets Having Improved Etch Selectivity
App 20200235206 - Xu; Wenyu ;   et al.
2020-07-23
Powder Sintering Device For Moderator
App 20200188695 - LIU; Yuan-hao ;   et al.
2020-06-18
Flipped Vertical Field-effect-transistor
App 20200176335 - CHENG; Kangguo ;   et al.
2020-06-04
Method Of Forming Iii-v On Insulator Structure On Semiconductor Substrate
App 20200176558 - CHENG; KANGGUO ;   et al.
2020-06-04
Vertical transistors having improved gate length control
Grant 10,672,888 - Cheng , et al.
2020-06-02
Fabrication Of A Pair Of Vertical Fin Field Effect Transistors Having A Merged Top Source/drain
App 20200168510 - Cheng; Kangguo ;   et al.
2020-05-28
Fabrication Of A Pair Of Vertical Fin Field Effect Transistors Having A Merged Top Source/drain
App 20200168511 - Cheng; Kangguo ;   et al.
2020-05-28
Fabrication Of A Pair Of Vertical Fin Field Effect Transistors Having A Merged Top Source/drain
App 20200168512 - Cheng; Kangguo ;   et al.
2020-05-28
VFET Device with Controllable Top Spacer
App 20200168702 - Xu; Wenyu ;   et al.
2020-05-28
Vertical transistors having improved gate length control
Grant 10,665,694 - Cheng , et al.
2020-05-26
Method of forming III-V on insulator structure on semiconductor substrate
Grant 10,665,666 - Cheng , et al.
2020-05-26
Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between gates
Grant 10,658,493 - Bi , et al.
2020-05-19
Gate Spacer And Inner Spacer Formation For Nanosheet Transistors Having Relatively Small Space Between Gates
App 20200152764 - Bi; Zhenxing ;   et al.
2020-05-14
Junction Formation In Thick-oxide And Thin-oxide Vertical Fets On The Same Chip
App 20200152517 - Miao; Xin ;   et al.
2020-05-14
Tunnel Field-effect Transistor With Reduced Subthreshold Swing
App 20200152789 - Miao; Xin ;   et al.
2020-05-14
Tunnel Field-effect Transistor With Reduced Subthreshold Swing
App 20200152790 - Miao; Xin ;   et al.
2020-05-14
Tunnel field-effect transistor with reduced subthreshold swing
Grant 10,644,150 - Miao , et al.
2020-05-05
Vertical transport field effect transistor on silicon with defined junctions
Grant 10,636,895 - Zhang , et al.
2020-04-28
Self-aligned Fin Recesses In Nanosheet Field Effect Transistors
App 20200118881 - Bi; Zhenxing ;   et al.
2020-04-16
Vertical Transistor Devices With Composite High-k And Low-k Spacers With A Controlled Top Junction
App 20200119190 - Cheng; Kangguo ;   et al.
2020-04-16
Beam shaping assembly for neutron capture therapy
Grant 10,617,893 - Liu , et al.
2020-04-14
Nanosheet devices with different types of work function metals
Grant 10,622,264 - Cheng , et al.
2020-04-14
FinFETs with controllable and adjustable channel doping
Grant 10,622,354 - Cheng , et al.
2020-04-14
Formation of a semiconductor device with RIE-free spacers
Grant 10,622,454 - Cheng , et al.
2020-04-14
Nanosheet semiconductor structure with inner spacer formed by oxidation
Grant 10,615,258 - Miao , et al.
2020-04-07
Nanosheet transistor gate structure having reduced parasitic capacitance
Grant 10,615,256 - Cheng , et al.
2020-04-07
Semiconductor device strain relaxation buffer layer
Grant 10,615,267 - Cheng , et al.
2020-04-07
Junction formation in thick-oxide and thin-oxide vertical FETs on the same chip
Grant 10,607,892 - Miao , et al.
2020-03-31
Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain
Grant 10,607,894 - Cheng , et al.
2020-03-31
Non-planar field effect transistor devices with low-resistance metallic gate structures
Grant 10,608,083 - Cheng , et al.
2020-03-31
Hybrid-channel Nano-sheet Fets
App 20200098642 - Bi; Zhenxing ;   et al.
2020-03-26
Vertical field effect transistors with bottom source/drain epitaxy
Grant 10,600,886 - Cheng , et al.
2020-03-24
Vertical Fin Field Effect Transistor With A Reduced Gate-to-bottom Source/drain Parasitic Capacitance
App 20200091316 - Zhang; Chen ;   et al.
2020-03-19
Analog-based multiple-bit chip security
Grant 10,592,698 - Cheng , et al.
2020-03-17
Vertical field effect transistor (VFET) device with controllable top spacer
Grant 10,593,753 - Xu , et al.
2020-03-17
Fabrication Of A Vertical Transistor With Self-aligned Bottom Source/drain
App 20200083106 - Cheng; Kangguo ;   et al.
2020-03-12
Vertical Fin Field Effect Transistor With A Reduced Gate-to-bottom Source/drain Parasitic Capacitance
App 20200083353 - Zhang; Chen ;   et al.
2020-03-12
Fabrication Of Vertical Fin Field Effect Transistors Having Top Air Spacers And A Self-aligned Top Junction
App 20200083217 - Cheng; Kangguo ;   et al.
2020-03-12
Fin Cut Etch Process For Vertical Transistor Devices
App 20200083355 - Xu; Wenyu ;   et al.
2020-03-12
Nanosheet FET device with epitaxial nucleation
Grant 10,586,856 - Loubet , et al.
2020-03-10
Non-planar Field Effect Transistor Devices With Low-resistance Metallic Gate Structures
App 20200075717 - Cheng; Kangguo ;   et al.
2020-03-05
Fin Field Effect Transistor Devices With Modified Spacer And Gate Dielectric Thicknesses
App 20200075589 - Miao; Xin ;   et al.
2020-03-05
Non-planar Field Effect Transistor Devices With Low-resistance Metallic Gate Structures
App 20200075719 - Cheng; Kangguo ;   et al.
2020-03-05
Self-aligned Source/drain Contact For Vertical Field Effect Transistor
App 20200075775 - Xu; Wenyu ;   et al.
2020-03-05
Fin Field Effect Transistor Devices With Modified Spacer And Gate Dielectric Thicknesses
App 20200075587 - Miao; Xin ;   et al.
2020-03-05
Non-planar Field Effect Transistor Devices With Low-resistance Metallice Gate Structures
App 20200075720 - Cheng; Kangguo ;   et al.
2020-03-05
Flipped vertical field-effect-transistor
Grant 10,580,709 - Cheng , et al.
2020-03-03
High Mobility Complementary Metal-oxide-semiconductor (cmos) Devices With Fins On Insulator
App 20200066896 - Miao; Xin ;   et al.
2020-02-27
Metal Gate Structure To Reduce Transistor Gate Resistance
App 20200058764 - Miao; Xin ;   et al.
2020-02-20
Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between gates
Grant 10,566,445 - Bi , et al. Feb
2020-02-18
Vertical fin field effect transistor with a reduced gate-to-bottom source/drain parasitic capacitance
Grant 10,566,444 - Zhang , et al. Feb
2020-02-18
Vertical Field Effect Transistors With Self Aligned Source/drain Junctions
App 20200052095 - Miao; Xin ;   et al.
2020-02-13
Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain
Grant 10,559,502 - Cheng , et al. Feb
2020-02-11
High mobility semiconductor fins on insulator
Grant 10,559,504 - Cheng , et al. Feb
2020-02-11
Vertical Field Effect Transistors With Self Aligned Source/drain Junctions
App 20200044056 - Miao; Xin ;   et al.
2020-02-06
Fabrication of a vertical transistor with self-aligned bottom source/drain
Grant 10,553,493 - Cheng , et al. Fe
2020-02-04
Beam Shaping Assembly For Neutron Capture Therapy
App 20200023205 - LIU; Yuan-hao ;   et al.
2020-01-23
Vertical Field Effect Transistor (vfet)device With Controllable Top Spacer
App 20200020767 - Xu; Wenyu ;   et al.
2020-01-16
Fabrication of vertical fin field effect transistors having top air spacers and a self-aligned top junction
Grant 10,535,652 - Cheng , et al. Ja
2020-01-14
High Threshold Voltage Fet With The Same Fin Height As Regular Threshold Voltage Vertical Fet
App 20200013677 - MIAO; Xin ;   et al.
2020-01-09
Method of manufacturing a semiconductor device having a metal gate with different lateral widths between spacers
Grant 10,529,823 - Miao , et al. J
2020-01-07
Fin field effect transistor devices with modified spacer and gate dielectric thicknesses
Grant 10,529,713 - Miao , et al. J
2020-01-07
Iii-v Segmented Finfet Free Of Wafer Bonding
App 20200006485 - Miao; Xin ;   et al.
2020-01-02
Nanosheet Transistor Gate Structure Having Reduced Parasitic Capacitance
App 20200006477 - Cheng; Kangguo ;   et al.
2020-01-02
Nanosheet Fet Device With Epitaxial Nucleation
App 20190386113 - Loubet; Nicolas ;   et al.
2019-12-19
Fin Field Effect Transistor Devices With Modified Spacer And Gate Dielectric Thicknesses
App 20190378837 - Miao; Xin ;   et al.
2019-12-12
Hybrid-channel nano-sheet FETs
Grant 10,504,793 - Bi , et al. Dec
2019-12-10
Vertical field effect transistors with self aligned source/drain junctions
Grant 10,505,019 - Miao , et al. Dec
2019-12-10
Self-aligned source/drain contact for vertical field effect transistor
Grant 10,505,048 - Xu , et al. Dec
2019-12-10
Method Of Manufacturing A Semiconductor Device Having An Inverted T-shaped Metal Gate Between Inner Spacers
App 20190371913 - Miao; Xin ;   et al.
2019-12-05
Tunnel Field-effect Transistor With Reduced Subthreshold Swing
App 20190371911 - Miao; Xin ;   et al.
2019-12-05
Dummy dielectric fins for finFETs with silicon and silicon germanium channels
Grant 10,497,799 - Cheng , et al. De
2019-12-03
Hybrid High Mobility Channel Transistors
App 20190363083 - MIAO; Xin ;   et al.
2019-11-28
High threshold voltage FET with the same fin height as regular threshold voltage vertical FET
Grant 10,490,453 - Miao , et al. Nov
2019-11-26
Vertical Field Effect Transistors With Self Aligned Source/drain Junctions
App 20190355833 - Miao; Xin ;   et al.
2019-11-21
Vertical Transport Field Effect Transistor On Silicon With Defined Junctions
App 20190355834 - Zhang; Chen ;   et al.
2019-11-21
Fin cut etch process for vertical transistor devices
Grant 10,483,375 - Xu , et al. Nov
2019-11-19
Gate Spacer And Inner Spacer Formation For Nanosheet Transistors Having Relatively Small Space Between Adjacent Gates
App 20190341450 - Lee; Choonghyun ;   et al.
2019-11-07
Iii-v-segmented Finfet Free Of Wafer Bonding
App 20190341452 - MIAO; Xin ;   et al.
2019-11-07
Gate Spacer And Inner Spacer Formation For Nanosheet Transistors Having Relatively Small Space Between Gates
App 20190341465 - Bi; Zhenxing ;   et al.
2019-11-07
Vertical field effect transistor with improved reliability
Grant 10,468,524 - Cheng , et al. No
2019-11-05
High Threshold Voltage Fet With The Same Fin Height As Regular Threshold Voltage Vertical Fet
App 20190318963 - MIAO; Xin ;   et al.
2019-10-17
Vertical Transistors Having Improved Control Of Parasitic Capacitance And Source/drain-to-channel Resistance
App 20190319099 - Cheng; Kangguo ;   et al.
2019-10-17
Vertical Fin Field Effect Transistor With Reduced Gate Length Variations
App 20190312140 - Zhang; Chen ;   et al.
2019-10-10
Vertical FET with reduced parasitic capacitance
Grant 10,438,949 - Cheng , et al. O
2019-10-08
Nanosheet Devices With Different Types Of Work Function Metals
App 20190304848 - Cheng; Kangguo ;   et al.
2019-10-03
Gate Spacer And Inner Spacer Formation For Nanosheet Transistors Having Relatively Small Space Between Gates
App 20190305106 - Bi; Zhenxing ;   et al.
2019-10-03
Fabrication of a vertical fin field effect transistor with a reduced contact resistance
Grant 10,431,659 - Cheng , et al. O
2019-10-01
Nanosheet transistor with high-mobility channel
Grant 10,424,639 - Miao , et al. Sept
2019-09-24
Vertical transport field effect transistor on silicon with defined junctions
Grant 10,424,653 - Zhang , et al. Sept
2019-09-24
Single-electron Transistor With Wrap-around Gate
App 20190288097 - Cheng; Kangguo ;   et al.
2019-09-19
Inner Spacer And Junction Formation For Integrating Extended-gate And Standard-gate Nanosheet Transistors
App 20190287864 - Cheng; Kangguo ;   et al.
2019-09-19
Air Gap Spacer With Wrap-around Etch Stop Layer Under Gate Spacer
App 20190280099 - Zhang; Chen ;   et al.
2019-09-12
Air gap spacer with wrap-around etch stop layer under gate spacer
Grant 10,411,114 - Zhang , et al. Sept
2019-09-10
Finfets With Controllable And Adjustable Channel Doping
App 20190259752 - Cheng; Kangguo ;   et al.
2019-08-22
High Mobility Semiconductor Fins On Insulator
App 20190259672 - Cheng; Kangguo ;   et al.
2019-08-22
Nanosheet devices with different types of work function metals
Grant 10,388,577 - Cheng , et al. A
2019-08-20
Method and structure for forming improved single electron transistor with gap tunnel barriers
Grant 10,381,468 - Cheng , et al. A
2019-08-13
Single electron transistor with wrap-around gate
Grant 10,374,073 - Cheng , et al.
2019-08-06
Vertical fin field effect transistor with reduced gate length variations
Grant 10,374,083 - Zhang , et al.
2019-08-06
Air Gap Spacer With Controlled Air Gap Height
App 20190237560 - Cheng; Kangguo ;   et al.
2019-08-01
Air gap spacer with controlled air gap height
Grant 10,367,076 - Cheng , et al. July 30, 2
2019-07-30
FinFETs with controllable and adjustable channel doping
Grant 10,361,197 - Cheng , et al.
2019-07-23
Vertical fin field effect transistor with integral U-shaped electrical gate connection
Grant 10,361,200 - Xu , et al.
2019-07-23
Vertical Fin Field Effect Transistor With Reduced Gate Length Variations
App 20190221667 - Zhang; Chen ;   et al.
2019-07-18
Radiation sensor, method of forming the sensor and device including the sensor
Grant 10,347,784 - Cheng , et al. July 9, 2
2019-07-09
H-shaped VFET with increased current drivability
Grant 10,340,364 - Zhang , et al.
2019-07-02
Vertical Fin Field Effect Transistor With A Reduced Gate-to-bottom Source/drain Parasitic Capacitance
App 20190198641 - Zhang; Chen ;   et al.
2019-06-27
Junction Formation In Thick-oxide And Thin-oxide Vertical Fets On The Same Chip
App 20190198400 - Miao; Xin ;   et al.
2019-06-27
Air Gap Spacer With Wrap-around Etch Stop Layer Under Gate Spacer
App 20190198635 - Zhang; Chen ;   et al.
2019-06-27
Vertical field effect transistor having U-shaped top spacer
Grant 10,332,800 - Cheng , et al.
2019-06-25
Nanosheet semiconductor structure with inner spacer formed by oxidation
Grant 10,332,962 - Miao , et al.
2019-06-25
Hybrid-channel nano-sheets FETs
Grant 10,332,802 - Bi , et al.
2019-06-25
Beam shaping assembly for neutron capture therapy
Grant 10,328,286 - Liu , et al.
2019-06-25
NANOSHEET WITH CHANGING SiGe PECENTAGE FOR SiGe LATERAL RECESS
App 20190189781 - Cheng; Kangguo ;   et al.
2019-06-20
Nanosheet Semiconductor Structure With Inner Spacer Formed By Oxidation
App 20190189741 - Miao; Xin ;   et al.
2019-06-20
Method And Structure For Forming Improved Single Electron Transistor With Gap Tunnel Barriers
App 20190189790 - Cheng; Kangguo ;   et al.
2019-06-20
NANOSHEET WITH CHANGING SiGe PECENTAGE FOR SiGe LATERAL RECESS
App 20190189780 - Cheng; Kangguo ;   et al.
2019-06-20
Field effect transistor air-gap spacers with an etch-stop layer
Grant 10,325,995 - Cheng , et al.
2019-06-18
Method Of Forming Iii-v On Insulator Structure On Semiconductor Substrate
App 20190181220 - Cheng; Kangguo ;   et al.
2019-06-13
Vertical Fet With Reduced Parasitic Capacitance
App 20190181139 - Cheng; Kangguo ;   et al.
2019-06-13
Nanosheet with changing SiGe percentage for SiGe lateral recess
Grant 10,312,350 - Cheng , et al.
2019-06-04
NANOSHEET WITH CHANGING SiGe PERCENTAGE FOR SiGe LATERAL RECESS
App 20190165135 - Cheng; Kangguo ;   et al.
2019-05-30
Self-aligned contact
Grant 10,304,736 - Cheng , et al.
2019-05-28
Finfets With Controllable And Adjustable Channel Doping
App 20190157267 - Cheng; Kangguo ;   et al.
2019-05-23
Vertical field effect transistor with improved reliability
Grant 10,297,688 - Cheng , et al.
2019-05-21
H-shaped Vfet With Increased Current Drivability
App 20190148516 - Zhang; Chen ;   et al.
2019-05-16
Vertical Field Effect Transistor (fet) With Controllable Gate Length
App 20190139833 - Cheng; Kangguo ;   et al.
2019-05-09
Vertical FET with reduced parasitic capacitance
Grant 10,283,504 - Cheng , et al.
2019-05-07
Vertical Field Effect Transistor Having U-shaped Top Spacer
App 20190122935 - Cheng; Kangguo ;   et al.
2019-04-25
Nanosheet Semiconductor Structure With Inner Spacer Formed By Oxidation
App 20190109191 - Miao; Xin ;   et al.
2019-04-11
Dummy dielectric fins for finFETs with silicon and silicon germanium channels
Grant 10,256,328 - Cheng , et al.
2019-04-09
Flipped Vertical Field-effect-transistor
App 20190096775 - CHENG; Kangguo ;   et al.
2019-03-28
Flipped vertical field-effect-transistor
Grant 10,242,986 - Cheng , et al.
2019-03-26
Fabrication of a vertical fin field effect transistor with a reduced contact resistance
Grant 10,236,355 - Cheng , et al.
2019-03-19
Vertical transistor with variable gate length
Grant 10,236,214 - Cheng , et al.
2019-03-19
Vertical Field Effect Transistors With Bottom Source/drain Epitaxy
App 20190081157 - CHENG; Kangguo ;   et al.
2019-03-14
Integration of thick and thin nanosheet transistors on a single chip
Grant 10,229,971 - Cheng , et al.
2019-03-12
Vertical field effect transistors with bottom source/drain epitaxy
Grant 10,217,845 - Cheng , et al. Feb
2019-02-26
Vertical Transistors Having Improved Gate Length Control
App 20190058046 - Cheng; Kangguo ;   et al.
2019-02-21
Vertical Transistors Having Improved Gate Length Control
App 20190058047 - Cheng; Kangguo ;   et al.
2019-02-21
Vertical field effect transistor (FET) with controllable gate length
Grant 10,199,278 - Cheng , et al. Fe
2019-02-05
Field Effect Transistor Air-gap Spacers With An Etch-stop Layer
App 20190013390 - Cheng; Kangguo ;   et al.
2019-01-10
Direct gate patterning for vertical transport field effect transistor
Grant 10,176,997 - De Silva , et al. J
2019-01-08
Vertical FET with different channel orientations for NFET and PFET
Grant 10,177,046 - Cheng , et al. J
2019-01-08
Fabrication Of A Vertical Fin Field Effect Transistor (vertical Finfet) With A Self-aligned Gate And Fin Edges
App 20180374933 - Cheng; Kangguo ;   et al.
2018-12-27
Vertical FET with selective atomic layer deposition gate
Grant 10,164,055 - Cheng , et al. Dec
2018-12-25
Gate length controlled vertical FETs
Grant 10,153,367 - Cheng , et al. Dec
2018-12-11
Fabrication Of A Vertical Transistor With Self-aligned Bottom Source/drain
App 20180350691 - Cheng; Kangguo ;   et al.
2018-12-06
Vertical Field Effect Transistor (fet) With Controllable Gate Length
App 20180350695 - Cheng; Kangguo ;   et al.
2018-12-06
Flipped vertical field-effect-transistor
Grant 10,141,234 - Cheng , et al. Nov
2018-11-27
Vertical FETs with different gate lengths and spacer thicknesses
Grant 10,141,448 - Miao , et al. Nov
2018-11-27
Vertical field effect transistors with bottom source/drain epitaxy
Grant 10,134,874 - Cheng , et al. November 20, 2
2018-11-20
Field effect transistor air-gap spacers with an etch-stop layer
Grant 10,134,866 - Cheng , et al. November 20, 2
2018-11-20
Vertical FET with selective atomic layer deposition gate
Grant 10,109,491 - Cheng , et al. October 23, 2
2018-10-23
Vertical Fet With Reduced Parasitic Capacitance
App 20180301451 - Cheng; Kangguo ;   et al.
2018-10-18
Fabrication of a vertical fin field effect transistor (vertical finFET) with a self-aligned gate and fin edges
Grant 10,103,246 - Cheng , et al. October 16, 2
2018-10-16
Method And Structure For Forming Improved Single Electron Transistor With Gap Tunnel Barriers
App 20180277669 - Cheng; Kangguo ;   et al.
2018-09-27
Vertical Field Effect Transistor With Improved Reliability
App 20180277674 - Cheng; Kangguo ;   et al.
2018-09-27
Vertical Field Effect Transistor With Improved Reliability
App 20180277675 - Cheng; Kangguo ;   et al.
2018-09-27
Fabrication of a vertical transistor with self-aligned bottom source/drain
Grant 10,083,871 - Cheng , et al. September 25, 2
2018-09-25
Field Effect Transistor Air-gap Spacers With An Etch-stop Layer
App 20180269301 - Cheng; Kangguo ;   et al.
2018-09-20
Vertical FET with reduced parasitic capacitance
Grant 10,074,652 - Cheng , et al. September 11, 2
2018-09-11
Analog-based Multiple-bit Chip Security
App 20180253568 - Cheng; Kangguo ;   et al.
2018-09-06
Forming Nanosheet Transistors With Differing Characteristics
App 20180254322 - Cheng; Kangguo ;   et al.
2018-09-06
Self-aligned contact
Grant 10,068,799 - Cheng , et al. September 4, 2
2018-09-04
Vertical Fet With Different Channel Orientations For Nfet And Pfet
App 20180240716 - Cheng; Kangguo ;   et al.
2018-08-23
Radiation Sensor, Method Of Forming The Sensor And Device Including The Sensor
App 20180240929 - Cheng; Kangguo ;   et al.
2018-08-23
Flipped Vertical Field-effect-transistor
App 20180219011 - CHENG; Kangguo ;   et al.
2018-08-02
Vertical Field Effect Transistor Having U-shaped Top Spacer
App 20180211883 - Cheng; Kangguo ;   et al.
2018-07-26
Vertical field effect transistor having U-shaped top spacer
Grant 10,032,676 - Cheng , et al. July 24, 2
2018-07-24
Radiation sensor, method of forming the sensor and device including the sensor
Grant 10,020,416 - Cheng , et al. July 10, 2
2018-07-10
Hybrid-channel Nano-sheet Fets
App 20180190545 - Bi; Zhenxing ;   et al.
2018-07-05
Hybrid-channel Nano-sheet Fets
App 20180190544 - Bi; Zhenxing ;   et al.
2018-07-05
Forming Nanosheet Transistors With Differing Characteristics
App 20180182848 - Cheng; Kangguo ;   et al.
2018-06-28
Top contact resistance measurement in vertical FETs
Grant 10,002,809 - Cheng , et al. June 19, 2
2018-06-19
Flipped vertical field-effect-transistor
Grant 10,002,803 - Cheng , et al. June 19, 2
2018-06-19
Vertical single electron transistor formed by condensation
Grant 9,997,597 - Cheng , et al. June 12, 2
2018-06-12
Top contact resistance measurement in vertical FETS
Grant 9,997,421 - Cheng , et al. June 12, 2
2018-06-12
Hybrid-channel nano-sheet FETs
Grant 9,972,542 - Bi , et al. May 15, 2
2018-05-15
Vertical field effect transistors with bottom source/drain epitaxy
Grant 9,972,700 - Cheng , et al. May 15, 2
2018-05-15
Fabrication Of A Pair Of Vertical Fin Field Effect Transistors Having A Merged Top Source/drain
App 20180122936 - Cheng; Kangguo ;   et al.
2018-05-03
Fabrication Of A Pair Of Vertical Fin Field Effect Transistors Having A Merged Top Source/drain
App 20180122706 - Cheng; Kangguo ;   et al.
2018-05-03
Flipped Vertical Field-effect-transistor
App 20180122714 - CHENG; Kangguo ;   et al.
2018-05-03
Fabrication Of Vertical Fin Field Effect Transistors Having Top Air Spacers And A Self-aligned Top Junction
App 20180122800 - Cheng; Kangguo ;   et al.
2018-05-03
Fabrication Of A Pair Of Vertical Fin Field Effect Transistors Having A Merged Top Source/drain
App 20180122938 - Cheng; Kangguo ;   et al.
2018-05-03
Flipped vertical field-effect-transistor
Grant 9,960,164 - Cheng , et al. May 1, 2
2018-05-01
Vertical Field Effect Transistors With Bottom Source/drain Epitaxy
App 20180114849 - CHENG; Kangguo ;   et al.
2018-04-26
Finfets With Controllable And Adjustable Channel Doping
App 20180102362 - Cheng; Kangguo ;   et al.
2018-04-12
Vertical field effect transistor with uniform gate length
Grant 9,935,101 - Cheng , et al. April 3, 2
2018-04-03
Selectively Formed Gate Sidewall Spacer
App 20180076305 - Cheng; Kangguo ;   et al.
2018-03-15
Vertical Field Effect Transistor With Uniform Gate Length
App 20180061829 - Cheng; Kangguo ;   et al.
2018-03-01
Forming Nanosheet Transistors With Differing Characteristics
App 20180061944 - Cheng; Kangguo ;   et al.
2018-03-01
Fabrication of a vertical fin field effect transistor with a reduced contact resistance
Grant 9,905,663 - Cheng , et al. February 27, 2
2018-02-27
Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain
Grant 9,899,515 - Cheng , et al. February 20, 2
2018-02-20
Vertical Field Effect Transistor With Uniform Gate Length
App 20180033788 - Cheng; Kangguo ;   et al.
2018-02-01
Vertical Field Effect Transistors With Bottom Source/drain Epitaxy
App 20180033869 - CHENG; Kangguo ;   et al.
2018-02-01
Top Contact Resistance Measurement In Vertical Fets
App 20180025954 - Cheng; Kangguo ;   et al.
2018-01-25
Top Contact Resistance Measurement In Vertical Fets
App 20180025950 - Cheng; Kangguo ;   et al.
2018-01-25
Selectively formed gate sidewall spacer
Grant 9,876,097 - Cheng , et al. January 23, 2
2018-01-23
Gate Length Controlled Vertical Fets
App 20180012993 - Cheng; Kangguo ;   et al.
2018-01-11
Fabrication Of A Vertical Fin Field Effect Transistor With A Reduced Contact Resistance
App 20180012969 - Cheng; Kangguo ;   et al.
2018-01-11
Vertical field effect transistors with bottom source/drain epitaxy
Grant 9,865,705 - Cheng , et al. January 9, 2
2018-01-09
Beam Shaping Assembly For Neutron Capture Therapy
App 20180001112 - LIU; Yuan-hao ;   et al.
2018-01-04
Vertical Transistor With Variable Gate Length
App 20180005895 - CHENG; KANGGUO ;   et al.
2018-01-04
Formation Of A Semiconductor Device With Rie-free Spacers
App 20180006030 - Cheng; Kangguo ;   et al.
2018-01-04
Radiation Sensor, Method of Forming the Sensor and Device Including the Sensor
App 20180006181 - CHENG; Kangguo ;   et al.
2018-01-04
Vertical field effect transistor having U-shaped top spacer
Grant 9,859,166 - Cheng , et al. January 2, 2
2018-01-02
Single-electron transistor with wrap-around gate
Grant 9,859,409 - Cheng , et al. January 2, 2
2018-01-02
Sidewall protective layer for contact formation
Grant 9,859,389 - Cheng , et al. January 2, 2
2018-01-02
Self-aligned Contact
App 20170372956 - Cheng; Kangguo ;   et al.
2017-12-28
Fabrication Of A Vertical Fin Field Effect Transistor With A Reduced Contact Resistance
App 20170373162 - Cheng; Kangguo ;   et al.
2017-12-28
Sidewall Protective Layer For Contact Formation
App 20170373160 - CHENG; KANGGUO ;   et al.
2017-12-28
Fabrication Of A Vertical Fin Field Effect Transistor With A Reduced Contact Resistance
App 20170373159 - Cheng; Kangguo ;   et al.
2017-12-28
Self-aligned Contact
App 20170372957 - Cheng; Kangguo ;   et al.
2017-12-28
Vertical FET with reduced parasitic capacitance
Grant 9,853,028 - Cheng , et al. December 26, 2
2017-12-26
Vertical Single Electron Transistor Formed By Condensation
App 20170365662 - Cheng; Kangguo ;   et al.
2017-12-21
Fabrication Of A Vertical Transistor With Self-aligned Bottom Source/drain
App 20170358497 - Cheng; Kangguo ;   et al.
2017-12-14
Fabrication Of A Vertical Fin Field Effect Transistor (vertical Finfet) With A Self-aligned Gate And Fin Edges
App 20170358660 - Cheng; Kangguo ;   et al.
2017-12-14
Selectively Formed Gate Sidewall Spacer
App 20170358664 - Cheng; Kangguo ;   et al.
2017-12-14
Vertical Field Effect Transistors With Bottom Source/drain Epitaxy
App 20170352743 - CHENG; Kangguo ;   et al.
2017-12-07
Vertical Field Effect Transistors With Bottom Source/drain Epitaxy
App 20170352742 - CHENG; Kangguo ;   et al.
2017-12-07
Integration of vertical transistors with 3D long channel transistors
Grant 9,837,409 - Cheng , et al. December 5, 2
2017-12-05
Dummy Dielectric Fins For Finfets With Silicon And Silicon Germanium Channels
App 20170338322 - Cheng; Kangguo ;   et al.
2017-11-23
Dummy Dielectric Fins For Finfets With Silicon And Silicon Germanium Channels
App 20170338323 - Cheng; Kangguo ;   et al.
2017-11-23
Single-electron Transistor With Wrap-around Gate
App 20170317201 - Cheng; Kangguo ;   et al.
2017-11-02
Single-electron Transistor With Wrap-around Gate
App 20170317200 - Cheng; Kangguo ;   et al.
2017-11-02
Integration Of Vertical Transistors With 3d Long Channel Transistors
App 20170317080 - Cheng; Kangguo ;   et al.
2017-11-02
Flipped Vertical Field-effect-transistor
App 20170309630 - CHENG; Kangguo ;   et al.
2017-10-26
Flipped Vertical Field-effect-transistor
App 20170309527 - CHENG; Kangguo ;   et al.
2017-10-26
Flipped Vertical Field-effect-transistor
App 20170309631 - CHENG; Kangguo ;   et al.
2017-10-26
Flipped vertical field-effect-transistor
Grant 9,799,655 - Cheng , et al. October 24, 2
2017-10-24
Vertical single electron transistor formed by condensation
Grant 9,793,349 - Cheng , et al. October 17, 2
2017-10-17
Vertical Single Electron Transistor Formed By Condensation
App 20170294509 - Cheng; Kangguo ;   et al.
2017-10-12
Top contact resistance measurement in vertical FETs
Grant 9,768,085 - Cheng , et al. September 19, 2
2017-09-19
Semiconductor Device Strain Relaxation Buffer Layer
App 20170263731 - Cheng; Kangguo ;   et al.
2017-09-14
Semiconductor Device Strain Relaxation Buffer Layer
App 20170263772 - Cheng; Kangguo ;   et al.
2017-09-14
Vertical FET with selective atomic layer deposition gate
Grant 9,761,694 - Cheng , et al. September 12, 2
2017-09-12
Self-aligned source/drain junction for vertical field-effect transistor (FET) and method of forming the same
Grant 9,761,728 - Cheng , et al. September 12, 2
2017-09-12
FinFETs with controllable and adjustable channel doping
Grant 9,741,717 - Cheng , et al. August 22, 2
2017-08-22
Vertical field effect transistors with bottom contact metal directly beneath fins
Grant 9,721,845 - Cheng , et al. August 1, 2
2017-08-01
Radiation sensor, method of forming the sensor and device including the sensor
Grant 9,722,125 - Cheng , et al. August 1, 2
2017-08-01
Vertical Fet With Selective Atomic Layer Deposition Gate
App 20170213900 - Cheng; Kangguo ;   et al.
2017-07-27
Vertical Fet With Selective Atomic Layer Deposition Gate
App 20170213737 - Cheng; Kangguo ;   et al.
2017-07-27
Vertical Fet With Selective Atomic Layer Deposition Gate
App 20170213899 - Cheng; Kangguo ;   et al.
2017-07-27
Fin reveal last for finfet
Grant 9,698,251 - Cheng , et al. July 4, 2
2017-07-04
Semiconductor device strain relaxation buffer layer
Grant 9,698,266 - Cheng , et al. July 4, 2
2017-07-04
Tensile and compressive fins for vertical field effect transistors
Grant 9,653,602 - Cheng , et al. May 16, 2
2017-05-16
Integrated device with P-I-N diodes and vertical field effect transistors
Grant 9,653,458 - Cheng , et al. May 16, 2
2017-05-16
Integration of vertical transistors with 3D long channel transistors
Grant 9,607,899 - Cheng , et al. March 28, 2
2017-03-28
Vertical single electron transistor formed by condensation
Grant 9,595,605 - Cheng , et al. March 14, 2
2017-03-14
Apparatus And Method For Semi-automated Parallel Synthesis Of Peptides
App 20130184436 - WANG; Zhuying ;   et al.
2013-07-18

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed