Patent | Date |
---|
Streaming FFT with bypass function Grant 11,455,369 - Whyte September 27, 2 | 2022-09-27 |
Flow table modification for network accelerators Grant 11,456,951 - Wu September 27, 2 | 2022-09-27 |
Softmax calculation and architecture using a modified coordinate rotation digital computer (CORDIC) approach Grant 11,455,144 - Figliolia September 27, 2 | 2022-09-27 |
Time-multiplexed implementation of hardware accelerated functions in a programmable integrated circuit Grant 11,449,347 - Kong , et al. September 20, 2 | 2022-09-20 |
Regular expression processor and parallel processing architecture Grant 11,449,344 - Kumawat , et al. September 20, 2 | 2022-09-20 |
Network Interface Device App 20220292042 - POPE; Steven Leslie ;   et al. | 2022-09-15 |
Network Interface Device App 20220294883 - POPE; Steven Leslie ;   et al. | 2022-09-15 |
Fine-grained Multi-tenant Cache Management App 20220292024 - MITTAL; Millind ;   et al. | 2022-09-15 |
Reconfigurable Mixer Design Enabling Multiple Radio Architectures App 20220294598 - MCGRATH; John Edward ;   et al. | 2022-09-15 |
Network Interface Device App 20220292184 - POPE; Steven Leslie ;   et al. | 2022-09-15 |
Simulation using accelerated models Grant 11,443,088 - Verma , et al. September 13, 2 | 2022-09-13 |
High speed debug hub for debugging designs in an integrated circuit Grant 11,442,844 - Peattie , et al. September 13, 2 | 2022-09-13 |
Locking execution of cores to licensed programmable devices in a data center Grant 11,443,018 - Martin , et al. September 13, 2 | 2022-09-13 |
Data processing engines with cascade connected cores Grant 11,443,091 - McColgan , et al. September 13, 2 | 2022-09-13 |
Data Processing Engine Tile Architecture For An Integrated Circuit App 20220283985 - BILSKI; Goran Hk ;   et al. | 2022-09-08 |
Communicating Between Data Processing Engines Using Shared Memory App 20220283963 - NOGUERA SERRA; Juan J. ;   et al. | 2022-09-08 |
Accelerator automation framework for heterogeneous computing in datacenters Grant 11,429,767 - Yu , et al. August 30, 2 | 2022-08-30 |
Restoring memory data integrity Grant 11,429,481 - Azad , et al. August 30, 2 | 2022-08-30 |
Host-directed multi-layer neural network processing via per-layer work requests Grant 11,429,848 - Ng , et al. August 30, 2 | 2022-08-30 |
Network interface device and host processing device Grant 11,429,438 - Pope , et al. August 30, 2 | 2022-08-30 |
On-die virtual probes (ODVP) for integrated circuitries Grant 11,428,733 - Chen , et al. August 30, 2 | 2022-08-30 |
Mining proxy acceleration Grant 11,431,815 - Zhong , et al. August 30, 2 | 2022-08-30 |
Implementing a hardware description language memory using heterogeneous memory primitives Grant 11,429,769 - Kar , et al. August 30, 2 | 2022-08-30 |
Performing consecutive mac operations on a set of data using different kernels in a MAC circuit Grant 11,429,850 - Zhang , et al. August 30, 2 | 2022-08-30 |
Neural network controller Grant 11,429,851 - Zhang , et al. August 30, 2 | 2022-08-30 |
Spatial Distribution In A 3d Data Processing Unit App 20220269638 - DASTIDAR; Jaideep | 2022-08-25 |
Pipelined match-action circuitry Grant 11,425,036 - Herrera , et al. August 23, 2 | 2022-08-23 |
Generation of vector codes for tensor convolutions Grant 11,422,781 - Neuendorffer , et al. August 23, 2 | 2022-08-23 |
Method, apparatus and computer program product for processing data Grant 11,425,231 - Pope , et al. August 23, 2 | 2022-08-23 |
Multi-chip devices Grant 11,423,952 - Pulipati , et al. August 23, 2 | 2022-08-23 |
Machine learning based methodology for adaptative equalization Grant 11,423,303 - Jiao , et al. August 23, 2 | 2022-08-23 |
Universal in-band error masking Grant 11,422,879 - Novotny , et al. August 23, 2 | 2022-08-23 |
Behavioral-level Timing And Area Optimiation App 20220261523 - ZHANG; FAN ;   et al. | 2022-08-18 |
Implementing an asymmetric memory with random port ratios using dedicated memory primitives Grant 11,416,659 - Kar , et al. August 16, 2 | 2022-08-16 |
Active-by-active programmable device Grant RE49,163 - Kaviani , et al. August 9, 2 | 2022-08-09 |
Data processing system Grant 11,409,569 - Pope , et al. August 9, 2 | 2022-08-09 |
Runtime intellectual property core metadata to rebuild a next-compile-time intellectual property core Grant 11,403,447 - Schelle , et al. August 2, 2 | 2022-08-02 |
Efficient hardware implementation of the exponential function using hyperbolic functions Grant 11,403,068 - Cappello August 2, 2 | 2022-08-02 |
Per instance core control in accelerated computing environments Grant 11,403,429 - Robinson , et al. August 2, 2 | 2022-08-02 |
Method and system to enhance compression efficiency in encoded video by using dual pass entropy coding Grant 11,405,617 - Elkhazin August 2, 2 | 2022-08-02 |
Electrostatic discharge (ESD) protection in stacked chips Grant 11,398,469 - Karp July 26, 2 | 2022-07-26 |
Ultra-high-speed PAM-N CMOS inverter serial link Grant 11,398,934 - Casey , et al. July 26, 2 | 2022-07-26 |
Frequency Detector For Clock Data Recovery App 20220231889 - ZHANG; Hongtao ;   et al. | 2022-07-21 |
Network interface device Grant 11,394,768 - Pope , et al. July 19, 2 | 2022-07-19 |
Modifying application behaviour Grant 11,392,429 - Pope , et al. July 19, 2 | 2022-07-19 |
Network interface device Grant 11,394,664 - Pope , et al. July 19, 2 | 2022-07-19 |
RF DAC with improved HD2 and cross-talk performance by shadow switching in bleeder path Grant 11,394,393 - Lahiri , et al. July 19, 2 | 2022-07-19 |
Programmable Device Having Hardened Circuits For Predetermined Digital Signal Processing Functionality App 20220224338 - MCGRATH; John Edward ;   et al. | 2022-07-14 |
Programmable Device Having Hardened Circuits For Predetermined Digital Signal Processing Functionality App 20220224337 - MCGRATH; John Edward ;   et al. | 2022-07-14 |
Method for adaptively utilizing programmable logic devices Grant 11,385,287 - Astuti , et al. July 12, 2 | 2022-07-12 |
Antenna system, communication system, method Grant 11,387,539 - Meyer July 12, 2 | 2022-07-12 |
Disaggregated switch control path with direct-attached dispatch Grant 11,386,031 - Mittal , et al. July 12, 2 | 2022-07-12 |
Programmable device configuration memory system Grant 11,386,009 - Schultz , et al. July 12, 2 | 2022-07-12 |
Programmable device having a data processing engine (DPE) array Grant 11,386,020 - Klein , et al. July 12, 2 | 2022-07-12 |
Image preprocessing for generalized image processing Grant 11,386,644 - Delaye , et al. July 12, 2 | 2022-07-12 |
High throughput circuit architecture for hardware acceleration Grant 11,386,034 - Santan , et al. July 12, 2 | 2022-07-12 |
Auto-negotiation with parallel detection architecture for different data rates Grant 11,388,270 - Soma , et al. July 12, 2 | 2022-07-12 |
Systems and methods for discovery and configuration of a network device Grant 11,388,060 - Subramanian , et al. July 12, 2 | 2022-07-12 |
Communicating between data processing engines using shared memory Grant 11,379,389 - Noguera Serra , et al. July 5, 2 | 2022-07-05 |
Mixed storage of data fields Grant 11,379,580 - Wesselkamper , et al. July 5, 2 | 2022-07-05 |
Control And Reconfiguration Of Data Flow Graphs On Heterogeneous Computing Platform App 20220206766 - HSU; Chia-Jui ;   et al. | 2022-06-30 |
Power gating in stacked die structures Grant 11,374,564 - Dubey , et al. June 28, 2 | 2022-06-28 |
Multiple protocol layer conversion Grant 11,375,050 - Mittal , et al. June 28, 2 | 2022-06-28 |
Package integration for laterally mounted IC dies with dissimilar solder interconnects Grant 11,373,989 - Gandhi , et al. June 28, 2 | 2022-06-28 |
Fault-tolerant data transfer between integrated circuits Grant 11,372,700 - Kuramoto , et al. June 28, 2 | 2022-06-28 |
Fine-grained multi-tenant cache management Grant 11,372,769 - Mittal , et al. June 28, 2 | 2022-06-28 |
Thermal heat spreader plate for electronic device Grant 11,373,929 - Refai-Ahmed , et al. June 28, 2 | 2022-06-28 |
Feed processing Grant 11,374,777 - Pope , et al. June 28, 2 | 2022-06-28 |
Circuit simulation based on a high-level language circuit specification Grant 11,373,024 - Goyal , et al. June 28, 2 | 2022-06-28 |
Data processing engine tile architecture for an integrated circuit Grant 11,372,803 - Bilski , et al. June 28, 2 | 2022-06-28 |
Multi-chip Structure Including A Memory Die Stacked On Die Having Programmable Integrated Circuit App 20220199604 - KLEIN; Matthew H. | 2022-06-23 |
Clock Tree Routing In A Chip Stack App 20220197329 - GAIDE; Brian C. | 2022-06-23 |
Multi-die Integrated Circuit With Data Processing Engine Array App 20220197846 - Noguera Serra; Juan J. ;   et al. | 2022-06-23 |
Digital communications circuits and systems Grant 11,356,066 - Zhao , et al. June 7, 2 | 2022-06-07 |
Channelized rate adaptation Grant 11,356,379 - Yan June 7, 2 | 2022-06-07 |
Stacked silicon package assembly having thermal management Grant 11,355,412 - Gandhi , et al. June 7, 2 | 2022-06-07 |
Implementing A Jtag Device Chain In Multi-die Integrated Circuit App 20220170983 - FLATEAU, JR.; Roger D. ;   et al. | 2022-06-02 |
Shared multi-port memory from single port Grant 11,348,624 - Walke , et al. May 31, 2 | 2022-05-31 |
Method and apparatus for codeword alignment Grant 11,342,938 - Castelli , et al. May 24, 2 | 2022-05-24 |
Data processing engine array architecture with memory tiles Grant 11,336,287 - Rodriguez , et al. May 17, 2 | 2022-05-17 |
Three-dimensional thermal management apparatuses for electronic devices Grant 11,328,976 - Refai-Ahmed , et al. May 10, 2 | 2022-05-10 |
Method and system to enhance video quality in compressed video by manipulating bit usage Grant 11,330,258 - Elkhazin May 10, 2 | 2022-05-10 |
Hardware-based virtual-to-physical address translation for programmable logic masters in a system on chip Grant 11,327,899 - Arbel , et al. May 10, 2 | 2022-05-10 |
Data mover circuitry for N-dimensional data in an integrated circuit Grant 11,327,677 - Denolf , et al. May 10, 2 | 2022-05-10 |
Protection of data on a data path in a memory system Grant 11,327,836 - Wu , et al. May 10, 2 | 2022-05-10 |
BWT circuit arrangement and method Grant 11,329,665 - Dohadwala , et al. May 10, 2 | 2022-05-10 |
Force balanced package mounting Grant 11,330,738 - Refai-Ahmed , et al. May 10, 2 | 2022-05-10 |
High Throughput Circuit Architecture For Hardware Acceleration App 20220138140 - Santan; Sonal ;   et al. | 2022-05-05 |
Blockchain Machine Network Acceleration Engine App 20220138178 - YANG; Ji ;   et al. | 2022-05-05 |
Low current line termination structure Grant 11,323,108 - Verbruggen , et al. May 3, 2 | 2022-05-03 |
Multi-port stream switch for stream interconnect network Grant 11,323,391 - McColgan , et al. May 3, 2 | 2022-05-03 |
Ordered event notification Grant 11,321,150 - Pope , et al. May 3, 2 | 2022-05-03 |
Blockchain Machine Compute Acceleration Engine App 20220131704 - JAVAID; Haris ;   et al. | 2022-04-28 |
Serial lane-to-lane skew reduction Grant 11,314,277 - Remla , et al. April 26, 2 | 2022-04-26 |
High-level synthesis implementation of data structures in hardware Grant 11,314,911 - Du , et al. April 26, 2 | 2022-04-26 |
Chip package assembly with enhanced solder resist crack resistance Grant 11,315,858 - Sun , et al. April 26, 2 | 2022-04-26 |
Method and system for invisible watermarking of images and video Grant 11,308,572 - Benkual April 19, 2 | 2022-04-19 |
Systems and methods for storing video data in memory Grant 11,303,911 - Scott April 12, 2 | 2022-04-12 |
Implementing an application specified as a data flow graph in an array of data processing engines Grant 11,301,295 - Gupta , et al. April 12, 2 | 2022-04-12 |
Modular stacked silicon package assembly Grant 11,302,674 - Gandhi , et al. April 12, 2 | 2022-04-12 |
Programmable Traffic Management Engine App 20220109613 - ZHONG; Guanwen ;   et al. | 2022-04-07 |
Locking execution of cores to licensed programmable devices in a data center Grant 11,294,992 - Martin , et al. April 5, 2 | 2022-04-05 |
Data processing engine array architecture with memory tiles Grant 11,296,707 - Rodriguez , et al. April 5, 2 | 2022-04-05 |
Static configuration of accelerator card security modes Grant 11,295,000 - Shtalenkov , et al. April 5, 2 | 2022-04-05 |
Multi-die Integrated Circuit With Data Processing Engine Array App 20220100691 - Noguera Serra; Juan J. ;   et al. | 2022-03-31 |
Hardware Coherent Computational Expansion Memory App 20220100523 - MITTAL; Millind ;   et al. | 2022-03-31 |
Static Configuration Of Accelerator Card Security Modes App 20220100840 - Shtalenkov; Dmitriy ;   et al. | 2022-03-31 |
Communication Between Integrated Circuit (ic) Dies In Wafer-level Fan-out Package App 20220102293 - POON; Chi Fung ;   et al. | 2022-03-31 |
Multi-die integrated circuit with data processing engine array Grant 11,288,222 - Noguera Serra , et al. March 29, 2 | 2022-03-29 |
Programmable network measurement engine Grant 11,290,361 - Hu , et al. March 29, 2 | 2022-03-29 |
Programmable dynamic clock stretch for at-speed debugging of integrated circuits Grant 11,290,095 - Patel , et al. March 29, 2 | 2022-03-29 |
Multi-host Direct Memory Access System For Integrated Circuits App 20220092010 - Thyamagondlu; Chandrasekhar S. ;   et al. | 2022-03-24 |
Multi-chip structure including a memory die stacked on die having programmable integrated circuit Grant 11,282,824 - Klein March 22, 2 | 2022-03-22 |
System-on-chip having secure debug mode Grant 11,280,829 - Poolla , et al. March 22, 2 | 2022-03-22 |
Chip package assembly with stress decoupled interconnect layer Grant 11,282,775 - Gandhi , et al. March 22, 2 | 2022-03-22 |
Protection of high-level language simulation models Grant 11,281,834 - Klair , et al. March 22, 2 | 2022-03-22 |
Control and reconfiguration of data flow graphs on heterogeneous computing platform Grant 11,281,440 - Hsu , et al. March 22, 2 | 2022-03-22 |
Memory access protection in programmable logic device Grant 11,281,810 - Swarbrick March 22, 2 | 2022-03-22 |
High density routing for heterogeneous package integration Grant 11,282,776 - Gandhi , et al. March 22, 2 | 2022-03-22 |
Methods and circuits for deadlock avoidance Grant 11,281,618 - Ahmad , et al. March 22, 2 | 2022-03-22 |
Network Interface Device App 20220086042 - Pope; Steven Leslie ;   et al. | 2022-03-17 |
Analog-based DC offset compensation Grant 11,277,144 - Cho , et al. March 15, 2 | 2022-03-15 |
Database lookup using a scannable code for part selection Grant 11,276,098 - Klein , et al. March 15, 2 | 2022-03-15 |
Loss of signal detection Grant 11,271,664 - Ngankem Ngankem , et al. March 8, 2 | 2022-03-08 |
Time-multiplexed distribution of analog signals Grant 11,271,581 - Jennings , et al. March 8, 2 | 2022-03-08 |
Compressed tag coherency messaging Grant 11,271,860 - Mittal , et al. March 8, 2 | 2022-03-08 |
Power delivery network for active-on-active stacked integrated circuits Grant 11,270,977 - Jain , et al. March 8, 2 | 2022-03-08 |
Model-based design and partitioning for heterogeneous integrated circuits Grant 11,270,051 - Suresh , et al. March 8, 2 | 2022-03-08 |
Dataflow Graph Programming Environment For A Heterogenous Processing System App 20220058005 - GUPTA; Shail Aditya ;   et al. | 2022-02-24 |
Programmable Device Having Hardened Circuits For Predetermined Digital Signal Processing Functionality App 20220060189 - MCGRATH; John Edward ;   et al. | 2022-02-24 |
Network Interface Device App 20220060434 - Pope; Steven L. ;   et al. | 2022-02-24 |
Efficient Hardware Implementation Of The Exponential Function Using Hyperbolic Functions App 20220057995 - Cappello; Stefano | 2022-02-24 |
Hardware-software Design Flow With High-level Synthesis For Heterogeneous And Programmable Devices App 20220035607 - Sastry; Akella ;   et al. | 2022-02-03 |
Network Interface Device Supporting Multiple Interface Instances to a Common Bus App 20220027273 - Pope; Steven L. ;   et al. | 2022-01-27 |
Dual Mode Interconnect App 20220015588 - MCCOLGAN; Peter ;   et al. | 2022-01-20 |
Disaggregated Switch Control Path With Direct-attached Dispatch App 20210382838 - MITTAL; Millind ;   et al. | 2021-12-09 |
Antenna Module And Massive Mimo Antenna App 20210367353 - MEYER; Peter | 2021-11-25 |
Modular Stacked Silicon Package Assembly App 20210366873 - GANDHI; Jaspreet Singh ;   et al. | 2021-11-25 |
Beamforming Antenna, Measurement Device, Antenna Measurement System And Method App 20210367648 - AUE; Volker | 2021-11-25 |
Compute Dataflow Architecture App 20210336622 - YOUNG; Steven P. ;   et al. | 2021-10-28 |
Implementing A Jtag Device Chain In Multi-die Integrated Circuit App 20210311115 - FLATEAU, JR.; Roger D. ;   et al. | 2021-10-07 |
Configurable Network-on-chip For A Programmable Device App 20210303509 - SWARBRICK; Ian Andrew ;   et al. | 2021-09-30 |
Heterogeneous Integration Module Comprising Thermal Management Apparatus App 20210305127 - REFAI-AHMED; Gamal ;   et al. | 2021-09-30 |
Noc Relaxed Write Order Scheme App 20210303508 - MORSHED; Abbas ;   et al. | 2021-09-30 |
Low Power Inverter-based Ctle App 20210288590 - CHO; Junho ;   et al. | 2021-09-16 |
Calibration System, Antenna System And Calibration Method App 20210281335 - GRIEGER; Michael ;   et al. | 2021-09-09 |
Differential Analog Input Buffer App 20210281251 - Francis; Roswald ;   et al. | 2021-09-09 |
Network Interface Device App 20210281499 - POPE; Steven L. ;   et al. | 2021-09-09 |
Integrated Circuit Device With Stacked Dies Having Mirrored Circuitry App 20210265312 - KIM; Myongseob ;   et al. | 2021-08-26 |
Programmed Input/Output Mode App 20210255987 - Pope; Steven L. ;   et al. | 2021-08-19 |
Network Interface Device App 20210258284 - Pope; Steven Leslie ;   et al. | 2021-08-19 |
Stacked Silicon Package Assembly Having Thermal Management App 20210249328 - REFAI-AHMED; Gamal ;   et al. | 2021-08-12 |
Multi-chip Stacked Devices App 20210217729 - KANDALA; Anil Kumar ;   et al. | 2021-07-15 |
Stacked Silicon Package Assembly Having Vertical Thermal Management App 20210193620 - Refai-Ahmed; Gamal ;   et al. | 2021-06-24 |
Multi-chip Devices App 20210183412 - Pulipati; Narendra Kumar ;   et al. | 2021-06-17 |
Massive Mimo Antenna And Method For Operating A Massive Mimo Antenna App 20210184745 - MEYER; Peter | 2021-06-17 |
Data Transfers Between A Memory And A Distributed Compute Array App 20210174848 - Zhang; Xiaoqian ;   et al. | 2021-06-10 |
Structure And Method For A Microelectronic Device Having High And/or Low Voltage Supply App 20210165436 - TRIMBERGER; Stephen M. | 2021-06-03 |
Integration of a programmable device and a processing system in an integrated circuit package Grant 11024583 - | 2021-06-01 |
Programmed input/output mode Grant 11023411 - | 2021-06-01 |
Inductor Design In Active 3d Stacking Technology App 20210159212 - JING; Jing ;   et al. | 2021-05-27 |
Optical communication circuits Grant 11018772 - | 2021-05-25 |
Yield-centric power gated regulated supply design with programmable leakers Grant 11017822 - | 2021-05-25 |
Cascade streaming between data processing engines in an array Grant 11016822 - | 2021-05-25 |
Method to mitigate signal feed through ESD elements Grant 11018130 - | 2021-05-25 |
Low Noise Quadrature Signal Generation App 20210152180 - Shin; Jaewook ;   et al. | 2021-05-20 |
Software Defined Subsystem Creation For Heterogeneous Integrated Circuits App 20210150072 - Budde; Gangadhar ;   et al. | 2021-05-20 |
Network interface device Grant 11012411 - | 2021-05-18 |
Phase noise compensation in digital beamforming radar systems Grant 11009597 - | 2021-05-18 |
NOC peripheral interconnect interrogation scheme Grant 11010322 - | 2021-05-18 |
Thermal load balancing of programmable devices Grant 11012072 - | 2021-05-18 |
Power Delivery Network For Active-on-active Stacked Integrated Circuits App 20210143127 - JAIN; Praful ;   et al. | 2021-05-13 |
Range computation of bitwise operators Grant 11003818 - | 2021-05-11 |
Circuits for and methods of calibrating a circuit in an integrated circuit device Grant 11003203 - | 2021-05-11 |
Temperature-locked loop for optical elements having a temperature-dependent response Grant 11005572 - | 2021-05-11 |
System and method for a forward error correction decoder with error reporting Grant 11005598 - | 2021-05-11 |
Multiprocessing flow and massively multi-threaded flow for multi-die devices Grant 11003827 - | 2021-05-11 |
Multi-chip stacked devices Grant 11004833 - | 2021-05-11 |
Automated analysis and optimization of circuit designs Grant 11003826 - | 2021-05-11 |
Relaxation oscillator having a dynamically controllable current source Grant 11003204 - | 2021-05-11 |
Programmable Device Configuration Memory System App 20210133107 - SCHULTZ; David P. ;   et al. | 2021-05-06 |
Fanout Integration For Stacked Silicon Package Assembly App 20210134757 - GANDHI; Jaspreet Singh ;   et al. | 2021-05-06 |
Multi-chip Stacked Devices App 20210134760 - GAIDE; Brian C. ;   et al. | 2021-05-06 |
CMOS analog circuits having a triode-based active load Grant 10998307 - | 2021-05-04 |
Capturing data Grant 10999303 - | 2021-05-04 |
Non-linearity correction Grant 10998864 - | 2021-05-04 |
Programmable termination circuits for programmable devices Grant 10998904 - | 2021-05-04 |
Locked down network interface Grant 10999246 - | 2021-05-04 |
Subsystem For Configuration, Security, And Management Of An Adaptive System App 20210124711 - Ansari; Ahmad R. ;   et al. | 2021-04-29 |
Object detection in video Grant 10990826 - | 2021-04-27 |
Auto-precharge management in a controller Grant 10991417 - | 2021-04-27 |
Implementing a circuit design with re-convergence Grant 10990736 - | 2021-04-27 |
Configurable overlay on wide memory channels for efficient memory access Grant 10990517 - | 2021-04-27 |
Dynamically reconfigurable networking using a programmable integrated circuit Grant 10990547 - | 2021-04-27 |
Programmable pipeline at interface of hardened blocks Grant 10990555 - | 2021-04-27 |
Streaming interconnect architecture for data processing engine array Grant 10990552 - | 2021-04-27 |
Antenna Test System And A Method For Testing An Antenna App 20210116489 - GRIEGER; Michael | 2021-04-22 |
Antenna System, Communication System, Method App 20210119317 - MEYER; Peter | 2021-04-22 |
Customizable multi queue DMA interface Grant 10983920 - | 2021-04-20 |
Phase detector offset to resolve CDR false lock Grant 10985764 - | 2021-04-20 |
Inline image preprocessing for convolution operations using a matrix multiplier on an integrated circuit Grant 10984500 - | 2021-04-20 |
Method and apparatus for multi-voltage domain sequential elements Grant 10979034 - | 2021-04-13 |
Development environment for heterogeneous devices Grant 10977018 - | 2021-04-13 |
Efuse bank and associated anchor bits Grant 10978167 - | 2021-04-13 |
Dynamic scan chain and method Grant 10977404 - | 2021-04-13 |
Preparation of circuit designs for system-on-chip devices and implementation of circuitry using instances of a logical network-on-chip Grant 10977401 - | 2021-04-13 |
Synchronization headers for serial data transmission with multi-level signaling Grant 10979210 - | 2021-04-13 |
Dynamic base address register (BAR) reconfiguration using a peripheral component interconnect express (PCIe) extended configuration space Grant 10977051 - | 2021-04-13 |
Latency synchronization across clock domains Grant 10969821 - | 2021-04-06 |
Method to compress responses of automatic test pattern generation (ATPG) vectors into an on-chip multiple-input shift register (MISR) Grant 10969433 - | 2021-04-06 |
Domain aware data migration in coherent heterogenous systems Grant 10970217 - | 2021-04-06 |
Automated pipeline insertion on a bus Grant 10970446 - | 2021-04-06 |
Package integration for high bandwidth memory Grant 10971474 - | 2021-04-06 |
Integrated circuit devices and methods of designing and producing integrated circuits Grant 10962588 - | 2021-03-30 |
Retaining memory during partial reconfiguration Grant 10963170 - | 2021-03-30 |
Partial reconfiguration of integrated circuits using shell representation of platform design with extended routing region Grant 10963613 - | 2021-03-30 |
Data processing engine (DPE) array routing Grant 10963615 - | 2021-03-30 |
Integrated circuits and methods to accelerate data queries Grant 10963460 - | 2021-03-30 |
Flexible address mapping for a NoC in an integrated circuit Grant 10963421 - | 2021-03-30 |
Average pooling in a neural network Grant 10963746 - | 2021-03-30 |
Integrating rows of input/output blocks with memory controllers in a columnar programmable fabric archeture Grant 10963411 - | 2021-03-30 |
Single event latch-up (SEL) mitigation detect and mitigation Grant 10958067 - | 2021-03-23 |
Programmable integrated circuits for emulation Grant 10956638 - | 2021-03-23 |
Unified container for hardware and software binaries Grant 10956241 - | 2021-03-23 |
Tracing Status Of A Programmable Device App 20210081215 - Schultz; David P. ;   et al. | 2021-03-18 |
Post-synthesis insertion of debug cores Grant 10949586 - | 2021-03-16 |
Multistage round robin arbitration in a multiuser system Grant 10949258 - | 2021-03-16 |
Softmax circuit Grant 10949498 - | 2021-03-16 |
System and method for time-interpolated power change in a digital pre-distortion circuit Grant 10951249 - | 2021-03-16 |
Radio frequency DAC with improved linearity using shadow capacitor switching Grant 10944417 - | 2021-03-09 |
Software-driven design optimization for fixed-point multiply-accumulate circuitry Grant 10943039 - | 2021-03-09 |
Method of and circuit for predistortion for a cable TV amplifier Grant 10944444 - | 2021-03-09 |
Data flow graph optimization techniques for RTL loops with conditional-exit statements Grant 10943042 - | 2021-03-09 |
Multiple output constrained input lookup table generation Grant 10943043 - | 2021-03-09 |
Method and apparatus for psuedo-random interleaved analog-to-digital converter use Grant 10944414 - | 2021-03-09 |
Producer-to-consumer Active Direct Cache Transfers App 20210064529 - DASTIDAR; Jaideep ;   et al. | 2021-03-04 |
Address interleave support in a programmable device Grant 10936486 - | 2021-03-02 |
Sparse matrix processing circuitry Grant 10936311 - | 2021-03-02 |
Efficient data access control device for neural network hardware acceleration system Grant 10936941 - | 2021-03-02 |
Layered boundary interconnect Grant 10929331 - | 2021-02-23 |
Solder joints for board level reliability Grant 10930611 - | 2021-02-23 |
Unified Programmable Computational Memory And Configuration Network App 20210050853 - CAMAROTA; Rafael C. | 2021-02-18 |
Circuit and method for dynamic clock skew compensation Grant 10924096 - | 2021-02-16 |
Scratchpad memory management in a computing system Grant 10922226 - | 2021-02-16 |
Packet validation in virtual network interface architecture Grant 10924483 - | 2021-02-16 |
Updating firmware for programmable integrated circuits in computing environments Grant 10922068 - | 2021-02-16 |
Streaming platform flow and architecture for an integrated circuit Grant 10924430 - | 2021-02-16 |
User dialog-based automated system design for programmable integrated circuits Grant 10922463 - | 2021-02-16 |
Dynamically Reconfigurable Networking Using A Programmable Integrated Circuit App 20210042252 - Thyamagondlu; Chandrasekhar S. ;   et al. | 2021-02-11 |
Phase coherent and frequency hopping numerically controlled oscillator Grant 10917077 - | 2021-02-09 |
High bandwidth memory (HBM) bandwidth aggregation switch Grant 10916516 - | 2021-02-09 |
Integrated circuits designed for multiple sets of criteria Grant 10908598 - | 2021-02-02 |
Implementing circuit designs on multi-die programmable devices Grant 10909292 - | 2021-02-02 |
Low power device for high-speed time-interleaved sampling Grant 10911060 - | 2021-02-02 |
Network Interface Device And Host Processing Device App 20210026689 - Pope; Steven Leslie ;   et al. | 2021-01-28 |
Method and apparatus for electronics-harmful-radiation (EHR) measurement and monitoring Grant 10901097 - | 2021-01-26 |
Device for implementing artificial neural network with separate computation units Grant 10902315 - | 2021-01-26 |
Slice-aggregated Cryptographic System And Method App 20210021575 - VARMA; Anujan ;   et al. | 2021-01-21 |
Common input/output interface for application and debug circuitry Grant 10896119 - | 2021-01-19 |
Root Monitoring On An Fpga Using Satellite Adcs App 20210011172 - Farley; Brendan ;   et al. | 2021-01-14 |
Method, Apparatus And Computer Program Product For Processing Data App 20210014343 - Pope; Steve ;   et al. | 2021-01-14 |
Incremental initialization by parent and child placer processes in processing a circuit design Grant 10891413 - | 2021-01-12 |
Hardware-software design flow for heterogeneous and programmable devices Grant 10891414 - | 2021-01-12 |
Partial reconfiguration for Network-on-Chip (NoC) Grant 10893005 - | 2021-01-12 |
Flow convergence during hardware-software design for heterogeneous and programmable devices Grant 10891132 - | 2021-01-12 |
System and method for decision feedback equalizers Grant 10892918 - | 2021-01-12 |
Duty-cycle correction using balanced clocks Grant 10886906 - | 2021-01-05 |
Multi-chip stacked devices Grant 10886921 - | 2021-01-05 |
Evaluation of nets for suitability of time domain multiplexing during partitioning and placing a circuit design Grant 10878154 - | 2020-12-29 |
Embedded scheduling of hardware resources for hardware acceleration Grant 10877766 - | 2020-12-29 |
Loop optimization in a circuit design netlist Grant 10878150 - | 2020-12-29 |
High density substrate and stacked silicon package assembly having the same Grant 10879157 - | 2020-12-29 |
Insertion and placement of pipeline registers in signal paths of an integrated circuit Grant 10878159 - | 2020-12-29 |
Dense Wavelength Division And Multiplexing Scheme For Optical Integrated Circuits App 20200403706 - XIE; Chuan | 2020-12-24 |
Learning Neural Networks Of Programmable Device Blocks Directly With Backpropagation App 20200401882 - Umuroglu; Yaman ;   et al. | 2020-12-24 |
Global clock and a leaf clock divider Grant 10871796 - | 2020-12-22 |
Partitioning in a compiler flow for a heterogeneous multi-core architecture Grant 10872057 - | 2020-12-22 |
TCP processing for devices Grant 10873613 - | 2020-12-22 |
System and method for an electronic design tool providing automated guidance and interface for circuit design processing Grant 10867093 - | 2020-12-15 |
Data processing engine arrangement in a device Grant 10866753 - | 2020-12-15 |
Flexible wide-range and high bandwidth auxiliary clock and data recovery (CDR) circuit for transceivers Grant 10868663 - | 2020-12-15 |
Network interface device Grant 10868893 - | 2020-12-15 |
Compilation flow for a heterogeneous multi-core architecture Grant 10860766 - | 2020-12-08 |
Printed circuit board (PCB) modular design Grant 10860776 - | 2020-12-08 |
Clock tree routing in programmable logic device Grant 10860765 - | 2020-12-08 |
Memory traffic analyzer Grant 10860473 - | 2020-12-08 |
Embedded variable output power (VOP) in a current steering digital-to-analog converter Grant 10862500 - | 2020-12-08 |
Structure and method for a microelectronic device having high and/or low voltage supply Grant 10860044 - | 2020-12-08 |
Distributed memory repair network Grant 10861578 - | 2020-12-08 |
Systems and methods for frame lookup and forwarding in a network Grant 10862802 - | 2020-12-08 |
Single event latch-up (SEL) mitigation techniques Grant 10861848 - | 2020-12-08 |
On-die receiver coupling capacitance testing Grant 10862714 - | 2020-12-08 |
Dense wavelength division and multiplexing scheme for optical integrated circuits Grant 10862588 - | 2020-12-08 |
High-speed successive-approximation-register (SAR) analog-to-digital converter (ADC) control logic circuit Grant 10862496 - | 2020-12-08 |
Hybrid Hardware-software Coherent Framework App 20200379664 - Mittal; Millind ;   et al. | 2020-12-03 |
Method and apparatus for direct memory access transfers Grant 10853308 - | 2020-12-01 |
Software defined multi-domain creation and isolation for a heterogeneous System-on-Chip Grant 10853134 - | 2020-12-01 |
Data processing engine (DPE) array global mapping Grant 10853541 - | 2020-12-01 |
Hardware-software Design Flow With High-level Synthesis For Heterogeneous And Programmable Devices App 20200371759 - Sastry; Akella ;   et al. | 2020-11-26 |
Flow Convergence During Hardware-software Design For Heterogeneous And Programmable Devices App 20200371787 - Gupta; Shail Aditya ;   et al. | 2020-11-26 |
Dataflow Graph Programming Environment For A Heterogenous Processing System App 20200371761 - Gupta; Shail Aditya ;   et al. | 2020-11-26 |
Hardware-software Design Flow For Heterogeneous And Programmable Devices App 20200372123 - Gupta; Shail Aditya ;   et al. | 2020-11-26 |
Compilation Flow For A Heterogeneous Multi-core Architecture App 20200372200 - Sivaraman; Mukund ;   et al. | 2020-11-26 |
Dual-driver Interface App 20200364167 - Pope; Steve Leslie ;   et al. | 2020-11-19 |
Machine Learning Model Updates To Ml Accelerators App 20200341941 - Dastidar; Jaideep ;   et al. | 2020-10-29 |
Multi-chip Structure Including A Memory Die Stacked On Die Having Programmable Integrated Circuit App 20200343234 - Klein; Matthew H. | 2020-10-29 |
Network Interface Device App 20200344180 - Pope; Steven Leslie ;   et al. | 2020-10-29 |
Integrated Circuit Device With Electrostatic Discharge (esd) Protection App 20200343237 - Karp; James | 2020-10-29 |
Network Interface Device and Method App 20200336312 - POPE; Steven L. ;   et al. | 2020-10-22 |
Peripheral I/o Device With Assignable I/o And Coherent Domains App 20200327089 - Dastidar; Jaideep ;   et al. | 2020-10-15 |
Method Of Pruning Convolutional Neural Network Based On Feature Map Variation App 20200311549 - WANG; Yu ;   et al. | 2020-10-01 |
Redundancy Scheme For Multi-chip Stacked Devices App 20200303311 - YOUNG; Steven P. ;   et al. | 2020-09-24 |
Package Integration For Memory Devices App 20200303341 - Kim; Myongseob ;   et al. | 2020-09-24 |
Apparatus And Method To Reduce Lock Time Via Frequency Band Calibration App 20200304130 - Leung; Caleb S. ;   et al. | 2020-09-24 |
Locking Execution Of Cores To Licensed Programmable Devices In A Data Center App 20200293635 - Martin; Brian S. ;   et al. | 2020-09-17 |
Circuits For And Methods Of Calibrating A Circuit In An Integrated Circuit Device App 20200293080 - Poon; Chi Fung ;   et al. | 2020-09-17 |
Locking Execution Of Cores To Licensed Programmable Devices In A Data Center App 20200293636 - Martin; Brian S. ;   et al. | 2020-09-17 |
Method And Apparatus For A Phase Locked Loop Circuit App 20200287551 - Raj; Mayank ;   et al. | 2020-09-10 |
Network Interface Device App 20200274921 - Pope; Steven L. ;   et al. | 2020-08-27 |
Boundary Logic Interface App 20200274536 - Camarota; Rafael C. ;   et al. | 2020-08-27 |
Network Interface Device App 20200274827 - Pope; Steven L. ;   et al. | 2020-08-27 |
Configuring Programmable Logic Region Via Programmable Network App 20200264901 - Camarota; Rafael C. ;   et al. | 2020-08-20 |
Retaining Memory During Partial Reconfiguration App 20200241770 - Kumar; Subodh ;   et al. | 2020-07-30 |
System and Apparatus for Providing Network Security App 20200213364 - POPE; Steven L. ;   et al. | 2020-07-02 |
Phase Noise Compensation In Digital Beamforming Radar Systems App 20200191937 - Farley; Brendan ;   et al. | 2020-06-18 |
Integrated Circuits And Methods To Accelerate Data Queries App 20200183937 - Verma; Hare K. ;   et al. | 2020-06-11 |
Encapsulated Accelerator App 20200174954 - POPE; Steven L. | 2020-06-04 |
Programming And Controlling Compute Units In An Integrated Circuit App 20200159680 - Soe; Soren T. ;   et al. | 2020-05-21 |
Integration Of A Programmable Device And A Processing System In An Integrated Circuit Package App 20200161247 - LESEA; Austin H. ;   et al. | 2020-05-21 |
High Density Substrate And Stacked Silicon Package Assembly Having The Same App 20200161229 - Gandhi; Jaspreet Singh | 2020-05-21 |
Streaming Platform Architecture For Inter-kernel Circuit Communication For An Integrated Circuit App 20200151120 - Thyamagondlu; Chandrasekhar S. ;   et al. | 2020-05-14 |
Electronic Device Apparatus With Multiple Thermally Conductive Paths For Heat Dissipation App 20200152546 - Refai-Ahmed; Gamal ;   et al. | 2020-05-14 |
Streaming Platform Flow And Architecture App 20200153756 - Thyamagondlu; Chandrasekhar S. ;   et al. | 2020-05-14 |
Cryptographic System App 20200143088 - Sunkavalli; Ravi ;   et al. | 2020-05-07 |
Test System And Method Of Testing A Wafer For Integrated Circuit Devices App 20200141976 - Lim; Lik Huay ;   et al. | 2020-05-07 |
Network Interface Device App 20200145376 - Pope; Steven Leslie ;   et al. | 2020-05-07 |
Stacked Silicon Package Assembly Having Thermal Management App 20200105642 - Gandhi; Jaspreet Singh ;   et al. | 2020-04-02 |
Training Of Neural Networks By Including Implementation Cost As An Objective App 20200104715 - Denolf; Kristof ;   et al. | 2020-04-02 |
Network Interface Device App 20200106668 - Pope; Steven Leslie ;   et al. | 2020-04-02 |
Latency Synchronization Across Clock Domains App 20200097038 - Kinnerk; Ryan ;   et al. | 2020-03-26 |
Method Of And Circuit For Predistortion For A Cable Tv Amplifier App 20200099416 - Dick; Christopher H. ;   et al. | 2020-03-26 |
Multiply And Accumulate Circuit App 20200089472 - Pareek; Satyaprakash ;   et al. | 2020-03-19 |
Partial Reconfiguration For Network-on-chip (noc) App 20200092230 - Schultz; David P. ;   et al. | 2020-03-19 |
Single Event Latch-up (sel) Mitigation Detect And Mitigation App 20200091713 - Maillard; Pierre ;   et al. | 2020-03-19 |
Unified Address Space For Multiple Hardware Accelerators Using Dedicated Low Latency Links App 20200081850 - Singh; Sarabjeet ;   et al. | 2020-03-12 |
Vectorized Peak Detection For Signal Processing App 20200076660 - Barman; Kaushik ;   et al. | 2020-03-05 |
Power Gating In Stacked Die Structures App 20200076424 - Dubey; Prashant ;   et al. | 2020-03-05 |
Single Event Latch-up (sel) Mitigation Techniques App 20200066837 - Karp; James ;   et al. | 2020-02-27 |