loadpatents
name:-1.1856911182404
name:-1.6144831180573
name:-0.1116259098053
Xia; Li-Qun Patent Filings

Xia; Li-Qun

Patent Applications and Registrations

Patent applications and USPTO patent grants for Xia; Li-Qun.The latest application filed is for "hardmask tuning by electrode adjustment".

Company Profile
16.184.200
  • Xia; Li-Qun - Cupertino CA
  • Xia; Li-Qun - Santa Clara CA US
  • Xia; Li-Qun - San Jose CA
  • Xia; Li-Qun - Cupetino CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Amorphous silicon doped yttrium oxide films and methods of formation
Grant 11,370,669 - Sato , et al. June 28, 2
2022-06-28
Multizone flow gasbox for processing chamber
Grant 11,367,594 - Tong , et al. June 21, 2
2022-06-21
Hardmask Tuning By Electrode Adjustment
App 20220130665 - Tsiang; Michael Wenyoung ;   et al.
2022-04-28
Tensile Nitride Deposition Systems And Methods
App 20220130661 - Tsiang; Michael Wenyoung ;   et al.
2022-04-28
Systems And Methods For Forming Uv-cured Low-k Dielectric Films
App 20220108884 - Xie; Bo ;   et al.
2022-04-07
Systems And Methods For Depositing Low-k Dielectric Films
App 20220084815 - Xie; Bo ;   et al.
2022-03-17
Systems And Methods For Cleaning Low-k Deposition Chambers
App 20220081765 - Xie; Bo ;   et al.
2022-03-17
Self-Aligned Double Patterning With Spatial Atomic Layer Deposition
App 20220059362 - Li; Ning ;   et al.
2022-02-24
Methods And Systems To Modulate Film Stress
App 20220028660 - Tanaka; Tsutomu ;   et al.
2022-01-27
Systems And Methods For Depositing Low-k Dielectric Films
App 20210407792 - Xie; Bo ;   et al.
2021-12-30
Self-aligned double patterning with spatial atomic layer deposition
Grant 11,164,753 - Li , et al. November 2, 2
2021-11-02
Methods and systems to modulate film stress
Grant 11,158,489 - Tanaka , et al. October 26, 2
2021-10-26
Methods And Apparatus For Low Temperature Silicon Nitride Films
App 20210265157 - Yan; Wenbo ;   et al.
2021-08-26
Geometrically selective deposition of dielectric films utilizing low frequency bias
Grant 11,081,318 - Ohno , et al. August 3, 2
2021-08-03
Methods for ALD of Metal Oxides on Metal Surfaces
App 20210217610 - Bhuyan; Bhaskar Jyoti ;   et al.
2021-07-15
Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
Grant 11,028,478 - Nguyen , et al. June 8, 2
2021-06-08
Multizone Flow Gasbox For Processing Chamber
App 20210159053 - Tong; Mingle ;   et al.
2021-05-27
Methods and apparatus for low temperature silicon nitride films
Grant 11,017,997 - Yan , et al. May 25, 2
2021-05-25
Method and apparatus for deposition of low-k films
Grant 10,957,532 - Li , et al. March 23, 2
2021-03-23
Methods for ALD of metal oxides on metal surfaces
Grant 10,943,780 - Bhuyan , et al. March 9, 2
2021-03-09
Method and Apparatus for Selective Deposition of Dielectric Films
App 20210043448 - Li; Ning ;   et al.
2021-02-11
Methods And Apparatus For Deposition Of Low-K Films
App 20200332415 - Bhuyan; Bhaskar Jyoti ;   et al.
2020-10-22
Methods For Metal Oxide Post-Treatment
App 20200165725 - Sato; Tatsuya E. ;   et al.
2020-05-28
Method And Apparatus For Deposition Of Low-K Films
App 20200006064 - Li; Ning ;   et al.
2020-01-02
Methods And Apparatus For Low Temperature Silicon Nitride Films
App 20190348271 - Yan; Wenbo ;   et al.
2019-11-14
Method and apparatus for deposition of low-k films
Grant 10,453,678 - Li , et al. Oc
2019-10-22
Amorphous Silicon Doped Yttrium Oxide Films And Methods of Formation
App 20190221426 - Sato; Tatsuya E. ;   et al.
2019-07-18
Geometrically Selective Deposition Of Dielectric Films Utilizing Low Frequency Bias
App 20190189400 - Ohno; Kenichi ;   et al.
2019-06-20
Selective deposition of silicon nitride films for spacer applications
Grant 10,319,583 - Li , et al.
2019-06-11
Methods For ALD Of Metal Oxides On Metal Surfaces
App 20190157067 - Bhuyan; Bhaskar Jyoti ;   et al.
2019-05-23
Top lamp module for carousel deposition chamber
Grant 10,273,578 - Yudovsky , et al.
2019-04-30
Methods for the continuous processing of substrates
Grant 10,236,198 - Wu , et al.
2019-03-19
High temperature silicon oxide atomic layer deposition technology
Grant 10,170,298 - Yan , et al. J
2019-01-01
Methods for depositing low K and low wet etch rate dielectric thin films
Grant 10,147,599 - Li , et al. De
2018-12-04
Methods and apparatus for selective dry etch
Grant 10,134,581 - Li , et al. November 20, 2
2018-11-20
Method And Apparatus For Deposition Of Low-K Films
App 20180301333 - Li; Ning ;   et al.
2018-10-18
Atomic Layer Deposition Of Films Comprising Silicon, Carbon And Nitrogen Using Halogenated Silicon Precursors
App 20180291505 - Nguyen; Victor ;   et al.
2018-10-11
Method And Apparatus For Selective Deposition Of Dielectric Films
App 20180211833 - Li; Ning ;   et al.
2018-07-26
Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
Grant 10,023,958 - Nguyen , et al. July 17, 2
2018-07-17
PEALD of films comprising silicon nitride
Grant 9,984,868 - Nguyen , et al. May 29, 2
2018-05-29
Methods And Systems To Modulate Film Stress
App 20180130642 - Tanaka; Tsutomu ;   et al.
2018-05-10
High Temperature Silicon Oxide Atomic Layer Deposition Technology
App 20180076023 - Yan; Wenbo ;   et al.
2018-03-15
Methods For Depositing Low K And Low Wet Etch Rate Dielectric Thin Films
App 20180040470 - Li; Ning ;   et al.
2018-02-08
High temperature silicon oxide atomic layer deposition technology
Grant 9,875,888 - Yan , et al. January 23, 2
2018-01-23
Continuous Substrate Processing System
App 20170365491 - Wu; Banqiu ;   et al.
2017-12-21
Methods for depositing low k and low wet etch rate dielectric thin films
Grant 9,799,511 - Li , et al. October 24, 2
2017-10-24
Methods And Apparatus For Selective Dry Etch
App 20170263438 - Li; Ning ;   et al.
2017-09-14
Selective Deposition Of Silicon Nitride Films For Spacer Applications
App 20170263437 - Li; Ning ;   et al.
2017-09-14
Continuous substrate processing system
Grant 9,748,125 - Wu , et al. August 29, 2
2017-08-29
Resist Fortification For Magnetic Media Patterning
App 20170206922 - BENCHER; Christopher Dennis ;   et al.
2017-07-20
Resist fortification for magnetic media patterning
Grant 9,646,642 - Bencher , et al. May 9, 2
2017-05-09
Cu/barrier interface enhancement
Grant 9,633,861 - Ye , et al. April 25, 2
2017-04-25
Enhancing electrical property and UV compatibility of ultrathin blok barrier film
Grant 9,580,801 - Ba , et al. February 28, 2
2017-02-28
High Temperature Thermal ALD Silicon Nitride Films
App 20170053792 - Lu; Xinliang ;   et al.
2017-02-23
Methods For Depositing Low K And Low Wet Etch Rate Dielectric Thin Films
App 20160322214 - Li; Ning ;   et al.
2016-11-03
Cobalt selectivity improvement in selective cobalt process sequence
Grant 9,478,460 - Shek , et al. October 25, 2
2016-10-25
Precise critical dimension control using bilayer ALD
Grant 9,443,716 - Takeshita , et al. September 13, 2
2016-09-13
Cobalt Selectivity Improvement In Selective Cobalt Process Sequence
App 20160141203 - SHEK; MEI-YEE ;   et al.
2016-05-19
Precise Critical Dimension Control Using Bilayer ALD
App 20160104613 - Takeshita; Kenji ;   et al.
2016-04-14
High Temperature Silicon Oxide Atomic Layer Deposition Technology
App 20160099143 - Yan; Wenbo ;   et al.
2016-04-07
Top Lamp Module For Carousel Deposition Chamber
App 20160097122 - Yudovsky; Joseph ;   et al.
2016-04-07
Accurate film thickness control in gap-fill technology
Grant 9,297,073 - Li , et al. March 29, 2
2016-03-29
Enhancing Electrical Property And Uv Compatibility Of Ultrathin Blok Barrier Film
App 20160071724 - BA; Xiaolan ;   et al.
2016-03-10
Enhancing Uv Compatibility Of Low K Barrier Film
App 20160013049 - YE; Weifeng ;   et al.
2016-01-14
Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
App 20150380221 - Liu; Ren ;   et al.
2015-12-31
Accurate Film Thickness Control In Gap-fill Technology
App 20150299856 - LI; Ning ;   et al.
2015-10-22
Seamless Gap-fill With Spatial Atomic Layer Deposition
App 20150255324 - LI; Ning ;   et al.
2015-09-10
In-situ Carbon And Oxide Doping Of Atomic Layer Deposition Silicon Nitride Films
App 20150252477 - NGUYEN; Victor ;   et al.
2015-09-10
Cobalt selectivity improvement in selective cobalt process sequence
Grant 9,105,695 - Shek , et al. August 11, 2
2015-08-11
Self-Aligned Double Patterning With Spatial Atomic Layer Deposition
App 20150200110 - Li; Ning ;   et al.
2015-07-16
Atomic Layer Deposition Of Films Comprising Silicon, Carbon And Nitrogen Using Halogenated Silicon Precursors
App 20150147484 - Nguyen; Victor ;   et al.
2015-05-28
Cobalt Selectivity Improvement In Selective Cobalt Process Sequence
App 20140349480 - SHEK; Mei-yee ;   et al.
2014-11-27
Apparatus and methods for silicon oxide CVD resist planarization
Grant 8,852,962 - Verhaverbeke , et al. October 7, 2
2014-10-07
PEALD of Films Comprising Silicon Nitride
App 20140273529 - Nguyen; Victor ;   et al.
2014-09-18
Cu/barrier Interface Enhancement
App 20140273438 - YE; Weifeng ;   et al.
2014-09-18
Vbd And Tddb Improvement Thru Interface Engineering
App 20140273516 - XIA; Li-Qun ;   et al.
2014-09-18
Post-Deposition Treatment Methods For Silicon Nitride
App 20140273530 - Nguyen; Victor ;   et al.
2014-09-18
Plasma Doping Of Silicon-Containing Films
App 20140273524 - Nguyen; Victor ;   et al.
2014-09-18
Copper oxide removal techniques
Grant 8,758,638 - Ye , et al. June 24, 2
2014-06-24
Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
Grant 8,753,989 - Balseanu , et al. June 17, 2
2014-06-17
Resist Fortification For Magnetic Media Patterning
App 20140147700 - BENCHER; Christopher Dennis ;   et al.
2014-05-29
Resist fortification for magnetic media patterning
Grant 8,658,242 - Bencher , et al. February 25, 2
2014-02-25
Method And Apparatus For Low Temperature ALD Deposition
App 20140023794 - Mahajani; Maitreyee ;   et al.
2014-01-23
MODULATED COMPOSITIONAL AND STRESS CONTROLLED MULTILAYER ULTRATHIN CONFORMAL SiNx DIELECTRICS USED IN NANO DEVICE FABRICATION
App 20130333923 - Balseanu; Mihaela ;   et al.
2013-12-19
Plasma-enhanced chemical vapor deposition of crystalline germanium
Grant 8,598,020 - Nguyen , et al. December 3, 2
2013-12-03
Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
Grant 8,586,487 - Nguyen , et al. November 19, 2
2013-11-19
Methods of modifying interlayer adhesion
Grant 8,569,166 - Schmitt , et al. October 29, 2
2013-10-29
Boron film interface engineering
Grant 8,563,090 - Balseanu , et al. October 22, 2
2013-10-22
Multilayered low k cap with conformal gap fill and UV stable compressive stress properties
Grant 8,536,069 - Balseanu , et al. September 17, 2
2013-09-17
Adhesion Improvement For Low K Dielectrics To Conductive Materials
App 20130230986 - RAJAGOPALAN; NAGARAJAN ;   et al.
2013-09-05
Method of forming flash memory with ultraviolet treatment
Grant 8,501,568 - Balseanu , et al. August 6, 2
2013-08-06
Rotary Substrate Processing System
App 20130192761 - Yudovsky; Joseph ;   et al.
2013-08-01
Multi-Chamber Substrate Processing System
App 20130196078 - Yudovsky; Joseph ;   et al.
2013-08-01
Continuous Substrate Processing System
App 20130192524 - Wu; Banqiu ;   et al.
2013-08-01
Engineering Dielectric Films For Cmp Stop
App 20130189841 - Balseanu; Mihaela ;   et al.
2013-07-25
Multilayered low k cap with conformal gap fill and UV stable compressive stress properties
Grant 8,492,880 - Balseanu , et al. July 23, 2
2013-07-23
Low Temperature Plasma Enhanced Chemical Vapor Deposition Of Conformal Silicon Carbon Nitride And Silicon Nitride Films
App 20130183835 - Nguyen; Victor ;   et al.
2013-07-18
Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
Grant 8,481,422 - Chan , et al. July 9, 2
2013-07-09
Apparatus And Methods For Silicon Oxide Cvd Resist Planarization
App 20130130405 - VERHAVERBEKE; Steven ;   et al.
2013-05-23
Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
Grant 8,445,075 - Xu , et al. May 21, 2
2013-05-21
Air gap integration scheme
Grant 8,389,376 - Demos , et al. March 5, 2
2013-03-05
MULTILAYERED LOW k CAP WITH CONFORMAL GAP FILL AND UV STABLE COMPRESSIVE STRESS PROPERTIES
App 20130005146 - Balseanu; Mihaela ;   et al.
2013-01-03
Silicon dioxide layer deposited with BDEAS
Grant 8,343,881 - Lee , et al. January 1, 2
2013-01-01
Method for depositing boron-rich films for lithographic mask applications
Grant 8,337,950 - Nguyen , et al. December 25, 2
2012-12-25
Fabrication of through-silicon vias on silicon wafers
Grant 8,329,575 - Rajagopalan , et al. December 11, 2
2012-12-11
Copper Oxide Removal Techniques
App 20120289049 - YE; WEIFENG ;   et al.
2012-11-15
Adhesion Improvement Of Dielectric Barrier To Copper By The Addition Of Thin Interface Layer
App 20120276301 - Lee; Yong-Won ;   et al.
2012-11-01
Fabrication of through-silicon vias on silicon wafers
Grant 8,283,237 - Rajagopalan , et al. October 9, 2
2012-10-09
MULTILAYERED LOW k CAP WITH CONFORMAL GAP FILL AND UV STABLE COMPRESSIVE STRESS PROPERTIES
App 20120248617 - Balseanu; Mihaela ;   et al.
2012-10-04
Method of forming a non-volatile memory having a silicon nitride charge trap layer
Grant 8,252,653 - Balseanu , et al. August 28, 2
2012-08-28
Prevention And Reduction Of Solvent And Solution Penetration Into Porous Dielectrics Using A Thin Barrier Layer
App 20120208366 - Chan; Kelvin ;   et al.
2012-08-16
Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
Grant 8,236,684 - Chan , et al. August 7, 2
2012-08-07
Method To Increase Silicon Nitride Tensile Stress Using Nitrogen Plasma In-situ Treatment And Ex-situ Uv Cure
App 20120196450 - Balseanu; Mihaela ;   et al.
2012-08-02
Method To Increase Tensile Stress Of Silicon Nitride Films Using A Post Pecvd Deposition Uv Cure
App 20120196452 - Balseanu; Mihaela ;   et al.
2012-08-02
Resist Fortification For Magnetic Media Patterning
App 20120196155 - Bencher; Christopher D. ;   et al.
2012-08-02
Fabrication Of Through-silicon Vias On Silicon Wafers
App 20120164827 - RAJAGOPALAN; Nagarajan ;   et al.
2012-06-28
Fabrication Of Through-silicon Vias On Silicon Wafers
App 20120164829 - Rajagopalan; Nagarajan ;   et al.
2012-06-28
Dual Delivery Chamber Design
App 20120097330 - Iyengar; Prahallad ;   et al.
2012-04-26
Boron nitride and boron-nitride derived materials deposition method
Grant 8,148,269 - Balseanu , et al. April 3, 2
2012-04-03
Post-deposition treatment to enhance properties of Si-O-C low K films
Grant 8,143,174 - Xia , et al. March 27, 2
2012-03-27
Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
Grant 8,138,104 - Balseanu , et al. March 20, 2
2012-03-20
Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
Grant 8,129,290 - Balseanu , et al. March 6, 2
2012-03-06
Plasma-enhanced Chemical Vapor Deposition Of Crystalline Germanium
App 20110315992 - Nguyen; Victor T. ;   et al.
2011-12-29
Method of depositing boron nitride and boron nitride-derived materials
Grant 8,084,105 - Huh , et al. December 27, 2
2011-12-27
Silicon Dioxide Layer Deposited With Bdeas
App 20110298099 - LEE; Yong-Won ;   et al.
2011-12-08
Methods Of Modifying Interlayer Adhesion
App 20110237085 - SCHMITT; FRANCIMAR CAMPANA ;   et al.
2011-09-29
Methods to obtain low k dielectric barrier with superior etch resistivity
Grant 7,964,442 - Xu , et al. June 21, 2
2011-06-21
Method of modifying interlayer adhesion
Grant 7,960,294 - Schmitt , et al. June 14, 2
2011-06-14
Decreasing the etch rate of silicon nitride by carbon addition
Grant 7,951,730 - Bhatia , et al. May 31, 2
2011-05-31
Methods And Apparatus Of Creating Airgap In Dielectric Layers For The Reduction Of Rc Delay
App 20110104891 - AL-BAYATI; AMIR ;   et al.
2011-05-05
METHOD TO MINIMIZE WET ETCH UNDERCUTS AND PROVIDE PORE SEALING OF EXTREME LOW K (K<2.5) DIELECTRICS
App 20110092077 - XU; HUIWEN ;   et al.
2011-04-21
Method to improve the step coverage and pattern loading for dielectric films
Grant 7,923,386 - Balseanu , et al. April 12, 2
2011-04-12
Gapfill improvement with low etch rate dielectric liners
Grant 7,910,491 - Soo Kwon , et al. March 22, 2
2011-03-22
Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
Grant 7,879,683 - Al-Bayati , et al. February 1, 2
2011-02-01
Methods and systems for forming at least one dielectric layer
Grant 7,871,926 - Xia , et al. January 18, 2
2011-01-18
Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
Grant 7,851,384 - Liu , et al. December 14, 2
2010-12-14
Low temperature conformal oxide formation and applications
Grant 7,851,385 - Spuller , et al. December 14, 2
2010-12-14
Method of forming non-volatile memory having charge trap layer with compositional gradient
Grant 7,816,205 - Balseanu , et al. October 19, 2
2010-10-19
Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
Grant 7,802,538 - Padhi , et al. September 28, 2
2010-09-28
Engineering Boron-rich Films For Lithographic Mask Applications
App 20100233633 - Nguyen; Victor ;   et al.
2010-09-16
Method to increase the compressive stress of PECVD dielectric films
Grant 7,790,635 - Balseanu , et al. September 7, 2
2010-09-07
Method to improve the step coverage and pattern loading for dielectric films
Grant 7,780,865 - Balseanu , et al. August 24, 2
2010-08-24
Two-layer film for next generation damascene barrier application with good oxidation resistance
Grant 7,749,563 - Zheng , et al. July 6, 2
2010-07-06
Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD)
Grant 7,745,328 - Yim , et al. June 29, 2
2010-06-29
Novel Air Gap Integration Scheme
App 20100151671 - Demos; Alexandros T. ;   et al.
2010-06-17
Method to increase the compressive stress of PECVD silicon nitride films
Grant 7,732,342 - Balseanu , et al. June 8, 2
2010-06-08
Selective copper-silicon-nitride layer formation for an improved dielectric film/copper line interface
Grant 7,718,548 - Lee , et al. May 18, 2
2010-05-18
Boron derived materials deposition method
Grant 7,704,816 - Huh , et al. April 27, 2
2010-04-27
Non-volatile Memory Having Charge Trap Layer With Compositional Gradient
App 20100096688 - Balseanu; Mihaela ;   et al.
2010-04-22
Boron Film Interface Engineering
App 20100098884 - BALSEANU; MIHAELA ;   et al.
2010-04-22
Non-volatile Memory Having Silicon Nitride Charge Trap Layer
App 20100096687 - BALSEANU; Mihaela ;   et al.
2010-04-22
Flash Memory With Treated Charge Trap Layer
App 20100099247 - Balseanu; Mihaela ;   et al.
2010-04-22
Gapfill Improvement With Low Etch Rate Dielectric Liners
App 20100099236 - Kwon; Young Soo ;   et al.
2010-04-22
Oxide-like seasoning for dielectric low k films
Grant 7,700,486 - Park , et al. April 20, 2
2010-04-20
Air gap integration scheme
Grant 7,670,924 - Demos , et al. March 2, 2
2010-03-02
Method To Improve The Step Coverage And Pattern Loading For Dielectric Films
App 20100048030 - BALSEANU; MIHAELA ;   et al.
2010-02-25
Prevention And Reduction Of Solvent And Solution Penetration Into Porous Dielectrics Using A Thin Barrier Layer
App 20090325381 - CHAN; KELVIN ;   et al.
2009-12-31
Methods And Appartus To Prevent Contamination Of A Photoresist Layer On A Substrate
App 20090317628 - Naik; Mehul ;   et al.
2009-12-24
Method Of Modifying Interlayer Adhesion
App 20090305514 - Schmitt; Francimar Campana ;   et al.
2009-12-10
Method For Critical Dimension Shrink Using Conformal Pecvd Films
App 20090286402 - Xia; Li-Qun ;   et al.
2009-11-19
Multi-stage curing of low K nano-porous films
Grant 7,611,996 - Schmitt , et al. November 3, 2
2009-11-03
Adhesion And Electromigration Improvement Between Dielectric And Conductive Layers
App 20090269923 - Lee; Sang M. ;   et al.
2009-10-29
Boron Nitride And Boron-nitride Derived Materials Deposition Method
App 20090263972 - Balseanu; Mihaela ;   et al.
2009-10-22
Method to improve the step coverage and pattern loading for dielectric films
Grant 7,601,651 - Balseanu , et al. October 13, 2
2009-10-13
Multi step ebeam process for modifying dielectric materials
Grant 7,588,803 - Demos , et al. September 15, 2
2009-09-15
Integration process for fabricating stressed transistor structure
Grant 7,566,655 - Balseanu , et al. July 28, 2
2009-07-28
Methods of modifying interlayer adhesion
Grant 7,563,728 - Schmitt , et al. July 21, 2
2009-07-21
Method For Integrating Porous Low-k Dielectric Layers
App 20090140418 - LI; SIYI ;   et al.
2009-06-04
Decreasing The Etch Rate Of Silicon Nitride By Carbon Addition
App 20090137132 - Bhatia; Ritwik ;   et al.
2009-05-28
Adhesion Improvement Of Dielectric Barrier To Copper By The Addition Of Thin Interface Layer
App 20090107626 - Lee; Yong-Won ;   et al.
2009-04-30
Methods and Systems for Forming at Least One Dielectric Layer
App 20090104764 - Xia; Li-Qun ;   et al.
2009-04-23
Methods And Apparatus Of Creating Airgap In Dielectric Layers For The Reduction Of Rc Delay
App 20090093112 - AL-BAYATI; AMIR ;   et al.
2009-04-09
Method For Forming An Air Gap In Multilevel Interconnect Structure
App 20090093100 - Xia; Li-Qun ;   et al.
2009-04-09
Methods To Obtain Low K Dielectric Barrier With Superior Etch Resistivity
App 20090093132 - XU; HUIWEN ;   et al.
2009-04-09
Low Temperature Conformal Oxide Formation And Applications
App 20090087977 - SPULLER; MATTHEW ;   et al.
2009-04-02
Decreasing the etch rate of silicon nitride by carbon addition
Grant 7,501,355 - Bhatia , et al. March 10, 2
2009-03-10
Low Dielectric (low K) Barrier Films With Oxygen Doping By Plasma-enhanced Chemical Vapor Deposition (pecvd)
App 20090053902 - Yim; Kang Sub ;   et al.
2009-02-26
Boron Derived Materials Deposition Method
App 20090017640 - Huh; Jeong-Uk ;   et al.
2009-01-15
Methods and apparatuses promoting adhesion of dielectric barrier film to copper
App 20090011148 - Rajagopalan; Nagarajan ;   et al.
2009-01-08
Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD)
Grant 7,465,659 - Yim , et al. December 16, 2
2008-12-16
Adhesion improvement for low k dielectrics
Grant 7,459,404 - Li , et al. December 2, 2
2008-12-02
Boron Nitride And Boron Nitride-derived Materials Deposition Method
App 20080292798 - Huh; Jeong-Uk ;   et al.
2008-11-27
Method of forming a low-K dual damascene interconnect structure
Grant 7,435,685 - Delgadino , et al. October 14, 2
2008-10-14
Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
Grant 7,422,776 - Yim , et al. September 9, 2
2008-09-09
Method for forming ultra low k films using electron beam
Grant 7,422,774 - Zheng , et al. September 9, 2
2008-09-09
Selective Copper-silicon-nitride Layer Formation For An Improved Dielectric Film/copper Line Interface
App 20080213997 - Lee; Sang M. ;   et al.
2008-09-04
Novel Air Gap Integration Scheme
App 20080182404 - DEMOS; ALEXANDROS T. ;   et al.
2008-07-31
Method To Increase The Compressive Stress Of Pecvd Dielectric Films
App 20080146007 - Balseanu; Mihaela ;   et al.
2008-06-19
Method Of Forming A Low-k Dual Damascene Interconnect Structure
App 20080145998 - DELGADINO; GERARDO A. ;   et al.
2008-06-19
Post-deposition Treatment To Enhance Properties Of Si-o-c Low K Films
App 20080132087 - Xia; Li-Qun ;   et al.
2008-06-05
Multi-stage Curing Of Low K Nano-porous Films
App 20080099920 - Schmitt; Francimar ;   et al.
2008-05-01
Post-deposition treatment to enhance properties of Si-O-C low k films
Grant 7,326,657 - Xia , et al. February 5, 2
2008-02-05
Method To Increase Silicon Nitride Tensile Stress Using Nitrogen Plasma In-situ Treatment And Ex-situ Uv Cure
App 20080020591 - Balseanu; Mihaela ;   et al.
2008-01-24
Decreasing the etch rate of silicon nitride by carbon addition
App 20080014761 - Bhatia; Ritwik ;   et al.
2008-01-17
Method of depositing low k barrier layers
Grant 7,319,068 - Xia , et al. January 15, 2
2008-01-15
METHOD TO MINIMIZE WET ETCH UNDERCUTS AND PROVIDE PORE SEALING OF EXTREME LOW K (K<2.5) DIELECTRICS
App 20070287301 - Xu; Huiwen ;   et al.
2007-12-13
Method To Mitigate Impact Of Uv And E-beam Exposure On Semiconductor Device Film Properties By Use Of A Bilayer Film
App 20070281497 - Liu; Yijun ;   et al.
2007-12-06
Methods And Apparatus For E-beam Treatment Used To Fabricate Integrated Circuit Devices
App 20070275569 - MOGHADAM; FARHAD ;   et al.
2007-11-29
Method To Improve The Step Coverage And Pattern Loading For Dielectric Films
App 20070232071 - Balseanu; Mihaela ;   et al.
2007-10-04
Method To Improve The Step Coverage And Pattern Loading For Dielectric Films
App 20070232082 - Balseanu; Mihaela ;   et al.
2007-10-04
Low-k spacer integration into CMOS transistors
App 20070202640 - Al-Bayati; Amir ;   et al.
2007-08-30
Method for producing gate stack sidewall spacers
Grant 7,253,123 - Arghavani , et al. August 7, 2
2007-08-07
Selective etching of organosilicate films over silicon oxide stop etch layers
Grant 7,244,672 - Nguyen , et al. July 17, 2
2007-07-17
Methods Of Modifying Interlayer Adhesion
App 20070141855 - Schmitt; Francimar Campana ;   et al.
2007-06-21
Adhesion improvement for low k dielectrics to conductive materials
Grant 7,229,911 - Rajagopalan , et al. June 12, 2
2007-06-12
Method of modifying interlayer adhesion
Grant 7,226,876 - Schmitt , et al. June 5, 2
2007-06-05
Method To Deposit Functionally Graded Dielectric Films Via Chemical Vapor Deposition Using Viscous Precursors
App 20070079753 - Padhi; Deenesh ;   et al.
2007-04-12
Selective etching of organosilicate films over silicon oxide stop etch layers
Grant 7,183,201 - Nguyen , et al. February 27, 2
2007-02-27
Method Of Depositing Low K Barrier Layers
App 20070042610 - Xia; Li-Qun ;   et al.
2007-02-22
Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
Grant 7,166,544 - Padhi , et al. January 23, 2
2007-01-23
Method of depositing low k films
Grant 7,160,821 - Huang , et al. January 9, 2
2007-01-09
Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD)
Grant 7,157,384 - Yim , et al. January 2, 2
2007-01-02
CVD plasma assisted lower dielectric constant SICOH film
Grant 7,153,787 - Cho , et al. December 26, 2
2006-12-26
Method of depositing dielectric materials including oxygen-doped silicon carbide in damascene applications
Grant 7,151,053 - Lee , et al. December 19, 2
2006-12-19
Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
App 20060269693 - Balseanu; Mihaela ;   et al.
2006-11-30
Method to increase the compressive stress of PECVD silicon nitride films
App 20060269692 - Balseanu; Mihaela ;   et al.
2006-11-30
Integration process for fabricating stressed transistor structure
App 20060270217 - Balseanu; Mihaela ;   et al.
2006-11-30
Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
Grant 7,132,353 - Xia , et al. November 7, 2
2006-11-07
Method of forming a low-K dual damascene interconnect structure
Grant 7,132,369 - Delgadino , et al. November 7, 2
2006-11-07
New Low Dielectric (low K) Barrier Films With Oxygen Doping By Plasma-enhanced Chemical Vapor Deposition (pecvd)
App 20060246737 - Yim; Kang Sub ;   et al.
2006-11-02
Method of depositing low K barrier layers
Grant 7,125,813 - Xia , et al. October 24, 2
2006-10-24
Oxide-like Seasoning For Dielectric Low K Films
App 20060219175 - Park; Sohyun ;   et al.
2006-10-05
Oxide-like seasoning for dielectric low k films
Grant 7,115,508 - Park , et al. October 3, 2
2006-10-03
Method of depositing dielectric films
Grant 7,117,064 - Nemani , et al. October 3, 2
2006-10-03
In-situ oxide capping after CVD low k deposition
Grant 7,112,541 - Xia , et al. September 26, 2
2006-09-26
Method of eliminating photoresist poisoning in damascene applications
App 20060205206 - Xu; Ping ;   et al.
2006-09-14
Adhesion improvement for low k dielectrics
App 20060189162 - Huang; Lihua Li ;   et al.
2006-08-24
Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
Grant 7,091,137 - Lee , et al. August 15, 2
2006-08-15
Multi step ebeam process for modifying dielectric materials
App 20060171653 - Demos; Alexandros T. ;   et al.
2006-08-03
Method for producing gate stack sidewall spacers
App 20060154493 - Arghavani; Reza ;   et al.
2006-07-13
Method of decreasing the k value in sioc layer deposited by chemical vapor deposition
Grant 7,074,708 - Gaillard , et al. July 11, 2
2006-07-11
Method of depositing dielectric films
App 20060141805 - Nemani; Srinivas D. ;   et al.
2006-06-29
Method for forming ultra low k films using electron beam
Grant 7,060,330 - Zheng , et al. June 13, 2
2006-06-13
Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
Grant 7,056,560 - Yim , et al. June 6, 2
2006-06-06
Method of improving stability in low k barrier layers
Grant 7,049,249 - Li , et al. May 23, 2
2006-05-23
Tensile and compressive stressed materials for semiconductors
App 20060105106 - Balseanu; Mihaela ;   et al.
2006-05-18
High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
App 20060093756 - Rajagopalan; Nagarajan ;   et al.
2006-05-04
Method of eliminating photoresist poisoning in damascene applications
Grant 7,034,409 - Xu , et al. April 25, 2
2006-04-25
Adhesion improvement for low k dielectrics
Grant 7,030,041 - Li , et al. April 18, 2
2006-04-18
Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber
App 20060054183 - Nowak; Thomas ;   et al.
2006-03-16
Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
App 20060046520 - Padhi; Deenesh ;   et al.
2006-03-02
Adhesion improvement for low k dielectrics to conductive materials
App 20060046479 - Rajagopalan; Nagarajan ;   et al.
2006-03-02
Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
App 20060043591 - Yim; Kang Sub ;   et al.
2006-03-02
Method of depositing dielectric films
Grant 7,001,850 - Nemani , et al. February 21, 2
2006-02-21
Method of depositing low k films
App 20050260864 - Huang, Tzu-Fang ;   et al.
2005-11-24
Selective etching of organosilicate films over silicon oxide stop etch layers
App 20050255697 - Nguyen, Huong Thanh ;   et al.
2005-11-17
In-situ oxide capping after CVD low k deposition
App 20050250348 - Xia, Li-Qun ;   et al.
2005-11-10
Multi-stage curing of low K nano-porous films
App 20050230834 - Schmitt, Francimar ;   et al.
2005-10-20
Adhesion improvement for low k dielectrics to conductive materials
App 20050233555 - Rajagopalan, Nagarajan ;   et al.
2005-10-20
Method of depositing dielectric materials in damascene applications
App 20050233576 - Lee, Ju-Hyung ;   et al.
2005-10-20
Oxide-like seasoning for dielectric low k films
App 20050227499 - Park, Sohyun ;   et al.
2005-10-13
Method of modifying interlayer adhesion
App 20050208759 - Campana Schmitt, Francimar ;   et al.
2005-09-22
Adhesion improvement for low k dielectrics
App 20050202685 - Huang, Lihua Li ;   et al.
2005-09-15
CVD plasma assisted lower dielectric constant SICOH film
Grant 6,943,127 - Cho , et al. September 13, 2
2005-09-13
Hardness improvement of silicon carboxy films
Grant 6,936,309 - Li , et al. August 30, 2
2005-08-30
Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
Grant 6,936,551 - Moghadam , et al. August 30, 2
2005-08-30
Methods and apparatuses promoting adhesion of dielectric barrier film to copper
App 20050186339 - Rajagopalan, Nagarajan ;   et al.
2005-08-25
Method for modifying dielectric characteristics of dielectric layers
Grant 6,921,727 - Chiang , et al. July 26, 2
2005-07-26
Solvent free photoresist strip and residue removal processing for post etching of low-k films
App 20050158667 - Nguyen, Huong Thanh ;   et al.
2005-07-21
Method for forming ultra low k films using electron beam
App 20050153073 - Zheng, Yi ;   et al.
2005-07-14
CVD plasma assisted lower dielectric constant sicoh film
App 20050153572 - Cho, Seon-Mee ;   et al.
2005-07-14
Method of modifying interlayer adhesion
Grant 6,913,992 - Schmitt , et al. July 5, 2
2005-07-05
Method for curing low dielectric constant film using direct current bias
Grant 6,914,014 - Li , et al. July 5, 2
2005-07-05
Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
Grant 6,911,403 - Li , et al. June 28, 2
2005-06-28
Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD)
App 20050130440 - Yim, Kang Sub ;   et al.
2005-06-16
Methods and apparatus for e-beam treatment used to fabricate integrated circuit devices
App 20050130404 - Moghadam, Farhad ;   et al.
2005-06-16
Lid cooling mechanism and method for optimized deposition of low-K dielectric using TR methylsilane-ozone based processes
Grant 6,899,763 - Pokharna , et al. May 31, 2
2005-05-31
Method of depositing dielectric materials in damascene applications
Grant 6,890,850 - Lee , et al. May 10, 2
2005-05-10
Side wall passivation films for damascene cu/low k electronic devices
Grant 6,878,620 - Nguyen , et al. April 12, 2
2005-04-12
Post-deposition treatment to enhance properties of Si-O-C low K films
App 20050070128 - Xia, Li-Qun ;   et al.
2005-03-31
Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
App 20050042889 - Lee, Albert ;   et al.
2005-02-24
Method of improving stability in low k barrier layers
App 20050042858 - Li, Lihua ;   et al.
2005-02-24
Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
App 20050042885 - Li, Lihua ;   et al.
2005-02-24
Post-deposition treatment to enhance properties of Si-O-C low films
Grant 6,858,923 - Xia , et al. February 22, 2
2005-02-22
Method of depositing a low k dielectric barrier film for copper damascene application
Grant 6,849,562 - Lang , et al. February 1, 2
2005-02-01
Method of depositing dielectric films
App 20050020048 - Nemani, Srinivas D. ;   et al.
2005-01-27
Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
Grant 6,838,393 - Yim , et al. January 4, 2
2005-01-04
Use of cyclic siloxanes for hardness improvement
App 20040234688 - Singh, Vinita ;   et al.
2004-11-25
Use of cyclic siloxanes for hardness improvement of low k dielectric films
Grant 6,815,373 - Singh , et al. November 9, 2
2004-11-09
Method of depositing low K films
Grant 6,806,207 - Huang , et al. October 19, 2
2004-10-19
Method of depositing low K barrier layers
App 20040198070 - Xia, Li-Qun ;   et al.
2004-10-07
Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
Grant 6,797,643 - Rocha-Alvarez , et al. September 28, 2
2004-09-28
Method for modifying dielectric characteristics of dielectric layers
App 20040180556 - Chiang, Kang-Lie ;   et al.
2004-09-16
Method of improving stability in low k barrier layers
Grant 6,790,788 - Li , et al. September 14, 2
2004-09-14
Method of improving interlayer adhesion
App 20040175929 - Schmitt, Francimar Campana ;   et al.
2004-09-09
Method of decreasing the K value in SIOC layer deposited by chemical vapor deposition
Grant 6,784,119 - Gaillard , et al. August 31, 2
2004-08-31
Method of decreasing the K value in SIOC layer deposited by chemical vapor deposition
App 20040166665 - Gaillard, Frederic ;   et al.
2004-08-26
Fluorine-containing layers for damascene structures
Grant 6,777,171 - Xu , et al. August 17, 2
2004-08-17
Method of forming a low-K dual damascene interconnect structure
App 20040157453 - Delgadino, Gerardo A. ;   et al.
2004-08-12
Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
App 20040156987 - Yim, Kang Sub ;   et al.
2004-08-12
Method of depositing dielectric films
Grant 6,764,958 - Nemani , et al. July 20, 2
2004-07-20
Method For Curing Low Dielectric Constant Film Using Direct Current Bias
App 20040137758 - Li, Lihua ;   et al.
2004-07-15
Method Of Improving Stability In Low K Barrier Layers
App 20040137756 - Li, Lihua ;   et al.
2004-07-15
Method and apparatus to improve cracking thresholds and mechanical properties of low-k dielectric material
App 20040137757 - Li, Lihua ;   et al.
2004-07-15
Method of depositing low k barrier layers
Grant 6,759,327 - Xia , et al. July 6, 2
2004-07-06
Integration scheme for dual damascene structure
Grant 6,753,258 - Gaillard , et al. June 22, 2
2004-06-22
Silicon carbide cap layers for low dielectric constant silicon oxide layers
Grant 6,750,141 - Xia , et al. June 15, 2
2004-06-15
Method of eliminating photoresist poisoning in damascene applications
App 20040106278 - Xu, Ping ;   et al.
2004-06-03
Method for forming ultra low k films using electron beam
App 20040101633 - Zheng, Yi ;   et al.
2004-05-27
Method for curing low dielectric constant film by electron beam
App 20040101632 - Zhu, Wen H. ;   et al.
2004-05-27
Side wall passivation films for damascene cu/low k electronic devices
App 20040092095 - Nguyen, Son Van ;   et al.
2004-05-13
Plasma Enhanced Cvd Low K Carbon-doped Silicon Oxide Film Deposition Using Vhf-rf Power
App 20040082193 - Rocha-Alvarez, Juan Carlos ;   et al.
2004-04-29
Cluster tool for E-beam treated films
App 20040069410 - Moghadam, Farhad ;   et al.
2004-04-15
Two-layer film for next generation damascene barrier application with good oxidation resistance
App 20040067308 - Zheng, Yi ;   et al.
2004-04-08
Method for depositing a low k dielectric film (K>3.5) for hard mask application
Grant 6,699,784 - Xia , et al. March 2, 2
2004-03-02
Method of decreasing the K value in SIOC layer deposited by chemical vapor deposition
App 20040029400 - Gaillard, Frederic ;   et al.
2004-02-12
Solvent free photoresist strip and residue removal processing for post etching of low-k films
Grant 6,680,164 - Nguyen , et al. January 20, 2
2004-01-20
Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
App 20030232495 - Moghadam, Farhad ;   et al.
2003-12-18
Method and apparatus for processing semiconductor substrates with hydroxyl radicals
App 20030221621 - Pokharna, Himanshu ;   et al.
2003-12-04
Method of eliminating photoresist poisoning in damascene applications
Grant 6,656,837 - Xu , et al. December 2, 2
2003-12-02
Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
App 20030211244 - Li, Lihua ;   et al.
2003-11-13
Methods and apparatus to enhance properties of Si-O-C low K films
Grant 6,635,575 - Xia , et al. October 21, 2
2003-10-21
Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
App 20030194495 - Li, Lihua ;   et al.
2003-10-16
Use of cyclic siloxanes for hardness improvement
App 20030194880 - Singh, Vinita ;   et al.
2003-10-16
Methods for depositing dielectric material
App 20030194496 - Xu, Ping ;   et al.
2003-10-16
Process for forming a low dielectric constant carbon-containing film
Grant 6,632,478 - Gaillard , et al. October 14, 2
2003-10-14
Hardness improvement of silicon carboxy films
App 20030186000 - Li, Lihua ;   et al.
2003-10-02
Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
Grant 6,627,532 - Gaillard , et al. September 30, 2
2003-09-30
Method of depositing a low k dielectric barrier film for copper damascene application
App 20030165618 - Lang, Chi-I ;   et al.
2003-09-04
UV radiation source for densification of CVD carbon-doped silicon oxide films
Grant 6,614,181 - Harvey , et al. September 2, 2
2003-09-02
Method of depositing low K films
App 20030162410 - Huang, Tzu-Fang ;   et al.
2003-08-28
Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
Grant 6,602,806 - Xia , et al. August 5, 2
2003-08-05
Method and apparatus for forming a dielectric film using helium as a carrier gas
Grant 6,599,574 - Yieh , et al. July 29, 2
2003-07-29
Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (pecvd)
App 20030139035 - Yim, Kang Sub ;   et al.
2003-07-24
Method of depositing low k films using an oxidizing plasma
Grant 6,593,247 - Huang , et al. July 15, 2
2003-07-15
Method of depositing dielectric materials in damascene applications
App 20030129827 - Lee, Ju-Hyung ;   et al.
2003-07-10
Surface treatment of c-doped SiO2 film to enhance film stability during O2 ashing
Grant 6,583,497 - Xia , et al. June 24, 2
2003-06-24
Method for depositing a low k dielectric film (k<3.5) for hard mask application
App 20030113995 - Xia, Li-Qun ;   et al.
2003-06-19
CVD plasma assisted lower dielectric constant sicoh film
App 20030104708 - Cho, Seon-Mee ;   et al.
2003-06-05
Solvent free photoresist strip and residue removal processing for post etching of low-k films
App 20030104320 - Nguyen, Huong Thanh ;   et al.
2003-06-05
Method of depositing organosilicate layers
Grant 6,573,196 - Gaillard , et al. June 3, 2
2003-06-03
Method for cleaning a process chamber
Grant 6,569,257 - Nguyen , et al. May 27, 2
2003-05-27
Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
Grant 6,566,278 - Harvey , et al. May 20, 2
2003-05-20
Post-deposition treatment to enhance properties of SI-O-C low films
App 20030077857 - Xia, Li-Qun ;   et al.
2003-04-24
Method of eliminating photoresist poisoning in damascene applications
App 20030077916 - Xu, Ping ;   et al.
2003-04-24
Method of depositing low k barrier layers
App 20030068881 - Xia, Li-Qun ;   et al.
2003-04-10
Method of depositing organosillicate layers
Grant 6,531,398 - Gaillard , et al. March 11, 2
2003-03-11
Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
Grant 6,503,843 - Xia , et al. January 7, 2
2003-01-07
Method of depositing organosilicate layers
Grant 6,500,773 - Gaillard , et al. December 31, 2
2002-12-31
CVD plasma assisted lower dielectric constant sicoh film
Grant 6,486,082 - Cho , et al. November 26, 2
2002-11-26
Post-deposition treatment to enhance properties of Si-O-C low K films
Grant 6,486,061 - Xia , et al. November 26, 2
2002-11-26
Silicon carbide cap layers for low dielectric constant silicon oxide layers
Grant 6,472,333 - Xia , et al. October 29, 2
2002-10-29
Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
Grant 6,465,372 - Xia , et al. October 15, 2
2002-10-15
Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
Grant 6,465,366 - Nemani , et al. October 15, 2
2002-10-15
Method of reducing undesired etching of insulation due to elevated boron concentrations
Grant 6,426,015 - Xia , et al. July 30, 2
2002-07-30
Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
Grant 6,413,583 - Moghadam , et al. July 2, 2
2002-07-02
Sub-atmospheric chemical vapor deposition system with dopant bypass
Grant 6,360,685 - Xia , et al. March 26, 2
2002-03-26
Methods and apparatus for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
Grant 6,348,099 - Xia , et al. February 19, 2
2002-02-19
Methods and apparatus for gettering fluorine from chamber material surfaces
Grant 6,347,636 - Xia , et al. February 19, 2
2002-02-19
Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
Grant 6,258,735 - Xia , et al. July 10, 2
2001-07-10
Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
Grant 6,255,222 - Xia , et al. July 3, 2
2001-07-03
Two-step borophosphosilicate glass deposition process and related devices and apparatus
Grant 6,218,268 - Xia , et al. April 17, 2
2001-04-17
Methods for shallow trench isolation
Grant 6,114,216 - Yieh , et al. September 5, 2
2000-09-05
Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
Grant 6,099,647 - Yieh , et al. August 8, 2
2000-08-08
Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
Grant 5,994,209 - Yieh , et al. November 30, 1
1999-11-30
Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
Grant 5,963,840 - Xia , et al. October 5, 1
1999-10-05
Method and apparatus for gettering fluorine from chamber material surfaces
Grant 5,935,340 - Xia , et al. August 10, 1
1999-08-10
Methods and apparatus for cleaning surfaces in a substrate processing system
Grant 5,812,403 - Fong , et al. September 22, 1
1998-09-22

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed