name:-0.24857592582703
name:-0.2360258102417
name:-0.050368070602417
Wu; Zhiqiang Patent Filings

Wu; Zhiqiang

Patent Applications and Registrations

Patent applications and USPTO patent grants for Wu; Zhiqiang.The latest application filed is for "semiconductor memory devices and methods of manufacturing thereof".

Company Profile
47.200.200
  • Wu; Zhiqiang - Hsinchu TW
  • Wu; Zhiqiang - Chubei TW
  • WU; Zhiqiang - Hangzhou City Zhejiang Province
  • WU; ZHIQIANG - HSINCHU COUNTY TW
  • Wu; Zhiqiang - Shanghai CN
  • Wu; Zhiqiang - Hangzhou CN
  • Wu; Zhiqiang - Shenzhen CN
  • Wu; Zhiqiang - Guangdong CN
  • Wu; Zhiqiang - Zhejiang CN
  • Wu; Zhiqiang - Shaanxi CN
  • Wu; Zhiqiang - Xi'an Shaanxi
  • WU; Zhiqiang - Yuyao CN
  • Wu; Zhiqiang - Hsin-Chu TW
  • Wu; Zhiqiang - Jiangsu CN
  • WU; Zhiqiang - Changzhou Jiangsu
  • Wu; Zhiqiang - Beijing CN
  • Wu; Zhiqiang - Zhubei TW
  • Wu; Zhiqiang - Chubei City TW
  • Wu; Zhiqiang - Hsinchu City TW
  • Wu; Zhiqiang - Foshan N/A CN
  • Wu; Zhiqiang - Plano TX US
  • Wu; Zhiqiang - Chu-Bei TW
  • Wu; Zhiqiang - Allen TX
  • Wu; Zhiqiang - Chu-Bei City TW
  • Wu; Zhiqiang - Meridian ID
  • Wu; Zhiqiang - Dallas TX
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Trademarks
Patent Activity
PatentDate
Semiconductor Memory Devices And Methods Of Manufacturing Thereof
App 20220293158 - Liou; Peng-Chun ;   et al.
2022-09-15
3d Memory Device With Modulated Doped Channel
App 20220285400 - Liou; Peng-Chun ;   et al.
2022-09-08
Semiconductor Memory Devices And Methods Of Manufacturing Thereof
App 20220285399 - Liou; Peng-Chun ;   et al.
2022-09-08
Permission-controlled Smart Contract Upgrade Method And System Based On Smart Contract, Blockchain Node, And Storage Medium
App 20220278861 - LI; Wei ;   et al.
2022-09-01
Method Of Manufacturing Semiconductor Device And Associated Memory Device
App 20220278208 - XU; NUO ;   et al.
2022-09-01
Read Circuit For Magnetic Tunnel Junction (mtj) Memory
App 20220277782 - Gupta; Gaurav ;   et al.
2022-09-01
Semiconductor structure and manufacturing method thereof
Grant 11,424,268 - Chia , et al. August 23, 2
2022-08-23
System and method for performing extreme ultraviolet photolithography processes
Grant 11,392,040 - Chen , et al. July 19, 2
2022-07-19
Multi-gate device
Grant 11,393,926 - Wei , et al. July 19, 2
2022-07-19
Effective Work Function Tuning Via Silicide Induced Interface Dipole Modulation For Metal Gates
App 20220223693 - Tung; Yen-Tien ;   et al.
2022-07-14
Structure And Method For Sram Finfet Device Having An Oxide Feature
App 20220216222 - Chiang; Kuo-Cheng ;   et al.
2022-07-07
Current Steering In Reading Magnetic Tunnel Junction
App 20220215869 - Gupta; Gaurav ;   et al.
2022-07-07
Semiconductor Memory Structure And Method For Forming The Same
App 20220216223 - XU; NUO ;   et al.
2022-07-07
Structure and method for FinFET device with buried SiGe oxide
Grant 11,380,783 - Chiang , et al. July 5, 2
2022-07-05
Multi-Gate Devices and Fabricating the Same with Etch Rate Modulation
App 20220208989 - Wang; Chih-Ching ;   et al.
2022-06-30
Method for measuring network speed, computing device, and computer-program product
Grant 11,374,843 - Zheng , et al. June 28, 2
2022-06-28
Air-replaced Spacer For Self-aligned Contact Scheme
App 20220181202 - LIN; Meng-Yu ;   et al.
2022-06-09
Semiconductor Device Having Nanosheet Transistor And Methods Of Fabrication Thereof
App 20220165842 - Wang; Chih-Ching ;   et al.
2022-05-26
Method of manufacturing semiconductor device and associated memory device
Grant 11,342,422 - Xu , et al. May 24, 2
2022-05-24
Read circuit for magnetic tunnel junction (MTJ) memory
Grant 11,342,016 - Gupta , et al. May 24, 2
2022-05-24
Method of playing audio and video, computing device, and computer program product
Grant 11,336,711 - Wang , et al. May 17, 2
2022-05-17
Action queue for hierarchy maintenance
Grant 11,327,961 - Wu , et al. May 10, 2
2022-05-10
Current steering in reading magnetic tunnel junction
Grant 11,309,005 - Gupta , et al. April 19, 2
2022-04-19
Permission-controlled smart contract upgrade method based on smart contract
Grant 11,301,233 - Li , et al. April 12, 2
2022-04-12
Method of switching resolution, computing device, and computer-readable storage medium
Grant 11,303,949 - Wu , et al. April 12, 2
2022-04-12
Structure and method for SRAM FinFET device having an oxide feature
Grant 11,289,494 - Ching , et al. March 29, 2
2022-03-29
Method and system for streaming media live broadcast
Grant 11,284,126 - Xiong , et al. March 22, 2
2022-03-22
Multi-gate devices and fabricating the same with etch rate modulation
Grant 11,282,943 - Wang , et al. March 22, 2
2022-03-22
Air-replaced spacer for self-aligned contact scheme
Grant 11,264,270 - Lin , et al. March 1, 2
2022-03-01
Method Of Manufacturing Semiconductor Device And Associated Memory Device
App 20220037484 - XU; NUO ;   et al.
2022-02-03
Multi-Gate Devices and Fabricating the Same with Etch Rate Modulation
App 20210391443 - Wang; Chih-Ching ;   et al.
2021-12-16
Memory Circuit And Write Method
App 20210375345 - WEI; Huan-Sheng ;   et al.
2021-12-02
Multi-Gate Devices With Multi-Layer Inner Spacers And Fabrication Methods Thereof
App 20210376119 - Wang; Chih-Ching ;   et al.
2021-12-02
Channel Configuration For Improving Multigate Device Performance And Method Of Fabrication Thereof
App 20210376163 - Wang; Chih-Ching ;   et al.
2021-12-02
System And Method For Performing Extreme Ultraviolet Photolithography Processes
App 20210349396 - CHEN; Tai-Yu ;   et al.
2021-11-11
Method Of Playing Audio And Video, Computing Device, And Computer Program Product
App 20210352127 - Wang; Sheng ;   et al.
2021-11-11
FinFET device with high-k metal gate stack
Grant 11,171,238 - Ching , et al. November 9, 2
2021-11-09
Method for Measuring Network Speed, Computing Device, and Computer-Program Product
App 20210344584 - ZHENG; Hanchao ;   et al.
2021-11-04
Gate All Around Transistor Device and Fabrication Methods Thereof
App 20210343858 - Wang; Chih-Ching ;   et al.
2021-11-04
Method and structure for FinFET device
Grant 11,158,637 - Ching , et al. October 26, 2
2021-10-26
Method of Switching Resolution, Computing Device, and Computer-Readable Storage Medium
App 20210329319 - WU; Zhiqiang ;   et al.
2021-10-21
Multi-gate device
Grant 11,145,762 - Wei , et al. October 12, 2
2021-10-12
Nonplanar device and strain-generating channel dielectric
Grant 11,145,553 - Ching , et al. October 12, 2
2021-10-12
Protection of MRAM from external magnetic field using magnetic-field-shielding structure
Grant 11,139,341 - Liu , et al. October 5, 2
2021-10-05
FinFET device including a stem region of a fin element
Grant 11,133,404 - Colinge , et al. September 28, 2
2021-09-28
Integrated Circuit Structure and Method with Hybrid Orientation for FinFET
App 20210296485 - Shen; Tzer-Min ;   et al.
2021-09-23
Switch Of Audio And Video
App 20210281929 - Wu; Zhiqiang ;   et al.
2021-09-09
Balance Of Initial Frame And Limitation Of Traffic
App 20210281624 - Zheng; Hanchao ;   et al.
2021-09-09
Memory device, semiconductor device and associated method
Grant 11,114,465 - Xu , et al. September 7, 2
2021-09-07
Magnetic memory device with balancing synthetic anti-ferromagnetic layer
Grant 11,081,153 - Gupta , et al. August 3, 2
2021-08-03
Method Of Dopant Deactivation Underneath Gate
App 20210234003 - SATHAIYA; Dhanyakumar Mahaveer ;   et al.
2021-07-29
Semiconductor Structure And Manufacturing Method Thereof
App 20210210496 - CHIA; HAN-JONG ;   et al.
2021-07-08
FinFET Device With High-K Metal Gate Stack
App 20210202743 - Chiang; Kuo-Cheng ;   et al.
2021-07-01
Integrated circuit structure and method with hybrid orientation for FinFET
Grant 11,031,418 - Shen , et al. June 8, 2
2021-06-08
Built-in outrigger
Grant D918,094 - Wu May 4, 2
2021-05-04
Air-replaced Spacer For Self-aligned Contact Scheme
App 20210125858 - LIN; Meng-Yu ;   et al.
2021-04-29
Semiconductor Device And Manufacturing Method Thereof
App 20210126135 - LEE; Wei-Ju ;   et al.
2021-04-29
MOSFET with selective dopant deactivation underneath gate
Grant 10,985,246 - Sathaiya , et al. April 20, 2
2021-04-20
Read Circuit For Magnetic Tunnel Junction (mtj) Memory
App 20210090631 - Gupta; Gaurav ;   et al.
2021-03-25
Structure and Method for FinFET Device with Buried Sige Oxide
App 20210083079 - Chiang; Kuo-Cheng ;   et al.
2021-03-18
Blowing-suction machine
Grant 10,945,384 - Wu March 16, 2
2021-03-16
Multi-Gate Devices And Method Of Fabrication Thereof
App 20210066477 - Lee; Wei Ju ;   et al.
2021-03-04
FinFET device including an dielectric region and method for fabricating same
Grant 10,937,909 - Wang , et al. March 2, 2
2021-03-02
Apparatus and method for prevention of contamination on collector of extreme ultraviolet light source
Grant 10,871,647 - Liu , et al. December 22, 2
2020-12-22
Permission-controlled Smart Contract Upgrade Method Based On Smart Contract
App 20200394032 - LI; Wei ;   et al.
2020-12-17
Read circuit for magnetic tunnel junction (MTJ) memory
Grant 10,867,652 - Gupta , et al. December 15, 2
2020-12-15
FinFETs with source/drain cladding
Grant 10,868,186 - Chiang , et al. December 15, 2
2020-12-15
Channel strain inducing architecture and doping technique at replacement poly gate (RPG) stage
Grant 10,861,972 - Wu , et al. December 8, 2
2020-12-08
Method of manufacturing a semiconductor device and a semiconductor device
Grant 10,847,736 - Lu , et al. November 24, 2
2020-11-24
Source and drain dislocation fabrication in FinFETs
Grant RE48,304 - Wu , et al. November 10, 2
2020-11-10
Structure and Method for SRAM FinFET Device
App 20200328219 - Ching; Kuo-Cheng ;   et al.
2020-10-15
Structure and method for FinFET device with buried sige oxide
Grant 10,804,381 - Ching , et al. October 13, 2
2020-10-13
High-temperature liquid slag granulating system
Grant 10,801,080 - Wang , et al. October 13, 2
2020-10-13
FinFETs with Source/Drain Cladding
App 20200321461 - Chiang; Kuo-Cheng ;   et al.
2020-10-08
Finfet Device And Method For Fabricating Same
App 20200321459 - WANG; Chih-Hao ;   et al.
2020-10-08
Method and Structure for FinFET Device
App 20200321339 - Ching; Kuo-Cheng ;   et al.
2020-10-08
Apparatus for detecting analyte in sample
Grant 10,773,256 - Wu , et al. Sept
2020-09-15
Interaction Method And Device For Mobile Terminal And Cloud Platform Of Unmanned Aerial Vehicle
App 20200259879 - A1
2020-08-13
Method And System For Streaming Media Live Broadcast
App 20200260125 - A1
2020-08-13
Data Transmission Method, Server, Storage System, Terminal Device, And System
App 20200259900 - A1
2020-08-13
Data Processing Method And Apparatus
App 20200259880 - A1
2020-08-13
FinFETs with source/drain cladding
Grant 10,707,349 - Chiang , et al.
2020-07-07
Method for controlling particle size of dry centrifugal granulated slag particles from liquid slag
App 20200208230 - Wang; Shuzhong ;   et al.
2020-07-02
Structure and method for SRAM FinFET device having an oxide feature
Grant 10,700,075 - Ching , et al.
2020-06-30
Method and structure for FinFET device
Grant 10,692,867 - Ching , et al.
2020-06-23
Integrated Circuit Structure and Method with Hybrid Orientation for FinFET
App 20200152666 - Shen; Tzer-Min ;   et al.
2020-05-14
2-D material transistor with vertical structure
Grant 10,644,168 - Colinge , et al.
2020-05-05
Read Circuit For Magnetic Tunnel Junction (mtj) Memory
App 20200135252 - Gupta; Gaurav ;   et al.
2020-04-30
Current Steering In Reading Magnetic Tunnel Junction
App 20200135253 - Gupta; Gaurav ;   et al.
2020-04-30
Method for fabricating FinFET including forming an oxide layer
Grant 10,629,737 - Wang , et al.
2020-04-21
Multi-gate Device
App 20200098923 - WEI; Huan-Sheng ;   et al.
2020-03-26
FinFET Device Including a Stem Region of a Fin Element
App 20200058770 - Colinge; Jean-Pierre ;   et al.
2020-02-20
Structure and Method for SRAM FinFET Device
App 20200052119 - Ching; Kuo-Cheng ;   et al.
2020-02-13
Apparatus And Method For Prevention Of Contamination On Collector Of Extreme Ultraviolet Light Source
App 20200041783 - LIU; Kuo-An ;   et al.
2020-02-06
Integrated circuit structure and method with hybrid orientation for FinFET
Grant 10,535,680 - Shen , et al. Ja
2020-01-14
Magnetic Memory Device With Balancing Synthetic Anti-ferromagnetic Layer
App 20200005845 - Gupta; Gaurav ;   et al.
2020-01-02
Nonplanar Device and Strain-Generating Channel Dielectric
App 20200006156 - Ching; Kuo-Cheng ;   et al.
2020-01-02
Protection Of Mram From External Magnetic Field Using Magnetic-field-shielding Structure
App 20190386061 - Liu; Kuo-An ;   et al.
2019-12-19
FinFET device with high-k metal gate stack
Grant 10,468,528 - Ching , et al. No
2019-11-05
FinFET device including a stem region of a fin element
Grant 10,461,176 - Colinge , et al. Oc
2019-10-29
Structure and method for SRAM FinfET device
Grant 10,453,961 - Ching , et al. Oc
2019-10-22
Blowing-suction Machine
App 20190289798 - WU; Zhiqiang
2019-09-26
Navigation Route Editing Method And Apparatus, And Control Device
App 20190277645 - LI; Zefei ;   et al.
2019-09-12
Nonplanar device and strain-generating channel dielectric
Grant 10,410,930 - Ching , et al. Sept
2019-09-10
Data model view with complex calculation capability
Grant 10,380,084 - Wu , et al. A
2019-08-13
Channel Strain Inducing Architecture And Doping Technique At Replacement Poly Gate (rpg) Stage
App 20190245089 - Wu; Zhiqiang ;   et al.
2019-08-08
FinFETs with Source/Drain Cladding
App 20190237572 - Ching; Kuo-Cheng ;   et al.
2019-08-01
Structure and method for FinFET device
Grant 10,325,816 - Ching , et al.
2019-06-18
Mosfet With Selective Dopant Deactivation Underneath Gate
App 20190165104 - SATHAIYA; Dhanyakumar Mahaveer ;   et al.
2019-05-30
Channel strain inducing architecture and doping technique at replacement poly gate (RPG) stage
Grant 10,276,717 - Wu , et al.
2019-04-30
Method and Structure for FinFET Device
App 20190123050 - Ching; Kuo-Cheng ;   et al.
2019-04-25
Semiconductor device with non-linear surface
Grant 10,269,575 - Chen , et al.
2019-04-23
FinFETs with source/drain cladding
Grant 10,269,964 - Ching , et al.
2019-04-23
2-D Material Transistor with Vertical Structure
App 20190103496 - COLINGE; Jean-Pierre ;   et al.
2019-04-04
Method Of Manufacturing A Semiconductor Device And A Semiconductor Device
App 20190097147 - LU; Chun-Chieh ;   et al.
2019-03-28
High-temperature liquid slag granulating system
App 20190071741 - Wang; Shuzhong ;   et al.
2019-03-07
Method of manufacturing a semiconductor device and a semiconductor device
Grant 10,193,090 - Lu , et al. Ja
2019-01-29
Integrated Circuit Structure and Method with Hybrid Orientation for FinFET
App 20190006391 - Shen; Tzer-Min ;   et al.
2019-01-03
Method and structure for FinFET device
Grant 10,163,905 - Ching , et al. Dec
2018-12-25
Method Of Manufacturing A Semiconductor Device And A Semiconductor Device
App 20180366666 - LU; Chun-Chieh ;   et al.
2018-12-20
MOSFET with selective dopant deactivation underneath gate
Grant 10,157,985 - Sathaiya , et al. Dec
2018-12-18
FinFET Device With High-K Metal Gate Stack
App 20180350992 - Ching; Kuo-Cheng ;   et al.
2018-12-06
Action Queue For Hierarchy Maintenance
App 20180349428 - Wu; Zhiqiang ;   et al.
2018-12-06
2-D material transistor with vertical structure
Grant 10,134,915 - Colinge , et al. November 20, 2
2018-11-20
Multi-gate Device
App 20180301560 - WEI; Huan-Sheng ;   et al.
2018-10-18
Data Model View With Complex Calculation Capability
App 20180210902 - WU; Zhiqiang ;   et al.
2018-07-26
Multi-gate device and method of fabrication thereof
Grant 10,008,603 - Wei , et al. June 26, 2
2018-06-26
2-d Material Transistor With Vertical Structure
App 20180175213 - COLINGE; Jean-Pierre ;   et al.
2018-06-21
Multi-gate Device And Method Of Fabrication Thereof
App 20180145176 - WEI; Huan-Sheng ;   et al.
2018-05-24
Semiconductor device with non-linear surface
Grant 9,966,436 - Chen , et al. May 8, 2
2018-05-08
Structure and Method for FinFET Device with Buried Sige Oxide
App 20180102419 - Ching; Kuo-Cheng ;   et al.
2018-04-12
Tuning strain in semiconductor devices
Grant 9,941,404 - Colinge , et al. April 10, 2
2018-04-10
FinFETs with source/drain cladding
Grant 9,941,406 - Ching , et al. April 10, 2
2018-04-10
FinFET having an oxide region in the source/drain region
Grant 9,929,269 - Ching , et al. March 27, 2
2018-03-27
Nonplanar Device and Strain-Generating Channel Dielectric
App 20180076097 - Ching; Kuo-Cheng ;   et al.
2018-03-15
Apparatus for Detecting Analyte in Sample
App 20180029031 - WU; Zhiqiang ;   et al.
2018-02-01
Structure and method for FinFET device with buried sige oxide
Grant 9,882,032 - Ching , et al. January 30, 2
2018-01-30
Finfet Device Including A Stem Region Of A Fin Element
App 20180019325 - COLINGE; Jean-Pierre ;   et al.
2018-01-18
Thermally tuning strain in semiconductor devices
Grant 9,871,141 - Diaz , et al. January 16, 2
2018-01-16
FinFETs with Source/Drain Cladding
App 20180012989 - Ching; Kuo-Cheng ;   et al.
2018-01-11
Method and Structure for FinFET Device
App 20170373066 - Ching; Kuo-Cheng ;   et al.
2017-12-28
Method of fabricating epitaxial gate dielectrics and semiconductor device of the same
Grant 9,853,150 - Colinge , et al. December 26, 2
2017-12-26
Nonplanar device and strain-generating channel dielectric
Grant 9,837,321 - Ching , et al. December 5, 2
2017-12-05
Structure And Method For Sram Finfet Device Having An Oxide Feature
App 20170330884 - Ching; Kuo-Cheng ;   et al.
2017-11-16
Rolled iron core traction transformer
Grant 9,812,252 - Gao , et al. November 7, 2
2017-11-07
Electrically powered gear box for a semitrailer stabilizer
Grant 9,797,478 - Wu October 24, 2
2017-10-24
Structure and Method for FinFET Device
App 20170301588 - Ching; Kuo-Cheng ;   et al.
2017-10-19
Process design to improve transistor variations and performance
Grant 9,768,297 - Yu , et al. September 19, 2
2017-09-19
Method and structure for FinFET device
Grant 9,761,586 - Ching , et al. September 12, 2
2017-09-12
Method for fabricating a finFET device including a stem region of a fin element
Grant 9,735,255 - Colinge , et al. August 15, 2
2017-08-15
Finfet Device And Method For Fabricating Same
App 20170229561 - WANG; Chih-Hao ;   et al.
2017-08-10
Structure and method for SRAM FinFET device having an oxide feature
Grant 9,721,955 - Ching , et al. August 1, 2
2017-08-01
Structure and method for FinFET device
Grant 9,698,058 - Ching , et al. July 4, 2
2017-07-04
Commitments And Forecasting Management
App 20170140399 - WU; ZHIQIANG ;   et al.
2017-05-18
Real-time Data Object Associations
App 20170140470 - Wu; Zhiqiang
2017-05-18
MOSFET structure with T-shaped epitaxial silicon channel
Grant 9,653,545 - Dhanyakumar , et al. May 16, 2
2017-05-16
Structure And Method For FinFET Device With Buried Sige Oxide
App 20170117391 - Ching; Kuo-Cheng ;   et al.
2017-04-27
FinFET device and method for fabricating same
Grant 9,634,127 - Ching , et al. April 25, 2
2017-04-25
OData service provisioning on top of genil layer
Grant 9,632,670 - Wu , et al. April 25, 2
2017-04-25
Fin structure of semiconductor device
Grant 9,627,476 - Diaz , et al. April 18, 2
2017-04-18
Rolled Iron Core Traction Transformer
App 20170076858 - GAO; Shibin ;   et al.
2017-03-16
Lubricating oil composition and production thereof
Grant 9,593,290 - Xin , et al. March 14, 2
2017-03-14
Action Queue For Hierarchy Maintenance
App 20170060932 - Wu; Zhiqiang ;   et al.
2017-03-02
Structure and method for FinFET device with buried sige oxide
Grant 9,559,181 - Ching , et al. January 31, 2
2017-01-31
Method of Forming a FinFET Having an Oxide Region in the Source/Drain Region
App 20170025537 - Ching; Kuo-Cheng ;   et al.
2017-01-26
Transistor design
Grant 9,553,150 - Chen , et al. January 24, 2
2017-01-24
High mobility devices with anti-punch through layers and methods of forming same
Grant 9,548,362 - Ching , et al. January 17, 2
2017-01-17
Method and Structure for FinFET Device
App 20170012046 - Ching; Kuo-Cheng ;   et al.
2017-01-12
Channel Strain Inducing Architecture and Doping Technique at Replacement Poly Gate (RPG) Stage
App 20160365447 - Wu; Zhiqiang ;   et al.
2016-12-15
Hindered phenol compound, preparation thereof and use thereof as an antioxidant
Grant 9,512,380 - Su , et al. December 6, 2
2016-12-06
Method of manufacturing an integrated circuit
Grant 9,502,253 - Wu , et al. November 22, 2
2016-11-22
Multi-gate semiconductor devices
Grant 9,502,409 - Ho , et al. November 22, 2
2016-11-22
Tuning Strain in Semiconductor Devices
App 20160336445 - Colinge; Jean-Pierre ;   et al.
2016-11-17
Method of forming a FinFET having an oxide region in the source/drain region
Grant 9,490,348 - Ching , et al. November 8, 2
2016-11-08
Channel strain inducing architecture and doping technique at replacement poly gate (RPG) stage
Grant 9,455,346 - Wu , et al. September 27, 2
2016-09-27
OData service provisioning on top of GenIL layer
Grant 9,436,362 - Wu , et al. September 6, 2
2016-09-06
Method and structure for FinFET device
Grant 9,437,683 - Ching , et al. September 6, 2
2016-09-06
Tuning strain in semiconductor devices
Grant 9,419,098 - Colinge , et al. August 16, 2
2016-08-16
Finfet Device And Method For Fabricating Same
App 20160233321 - Ching; Kuo-Cheng ;   et al.
2016-08-11
Aligned gate-all-around structure
Grant 9,412,828 - Ching , et al. August 9, 2
2016-08-09
Nonplanar Device and Strain-Generating Channel Dielectric
App 20160218042 - Ching; Kuo-Cheng ;   et al.
2016-07-28
Thermally Tuning Strain in Semiconductor Devices
App 20160218216 - Diaz; Carlos H. ;   et al.
2016-07-28
Semiconductor Device With Non-linear Surface
App 20160204200 - Chen; Xiaomeng ;   et al.
2016-07-14
Semiconductor Device With Non-linear Surface
App 20160196983 - Chen; Xiaomeng ;   et al.
2016-07-07
Thermally tuning strain in semiconductor devices
Grant 9,349,850 - Colinge , et al. May 24, 2
2016-05-24
Methods for manufacturing a fin structure of semiconductor device
Grant 9,343,551 - Ching , et al. May 17, 2
2016-05-17
FinFETs with Source/Drain Cladding
App 20160126343 - Ching; Kuo-Cheng ;   et al.
2016-05-05
FinFET design controlling channel thickness
Grant 9,318,322 - Wu , et al. April 19, 2
2016-04-19
FinFET device and method of fabricating same
Grant 9,318,606 - Wang , et al. April 19, 2
2016-04-19
Intelligent unmasking in an in-memory database
Grant 9,317,558 - Wu April 19, 2
2016-04-19
High Mobility Devices with Anti-Punch Through Layer and Methods of Forming Same
App 20160104776 - Ching; Kuo-Cheng ;   et al.
2016-04-14
Nonplanar device and strain-generating channel dielectric
Grant 9,306,067 - Ching , et al. April 5, 2
2016-04-05
Fin-type field effect transistor and method of fabricating the same
Grant 9,299,810 - Ching , et al. March 29, 2
2016-03-29
Semiconductor device with non-linear surface
Grant 9,299,784 - Chen , et al. March 29, 2
2016-03-29
Semiconductor device with non-linear surface
Grant 9,299,768 - Chen , et al. March 29, 2
2016-03-29
Method and Structure for FinFET Device
App 20160087041 - Ching; Kuo-Cheng ;   et al.
2016-03-24
Structure And Method For Sram Finfet Device
App 20160079427 - Ching; Kuo-Cheng ;   et al.
2016-03-17
Process Design To Improve Transistor Variations And Performance
App 20160064560 - Yu; Tsung-Hsing ;   et al.
2016-03-03
Structure And Method For Finfet Device
App 20160049338 - Ching; Kuo-Cheng ;   et al.
2016-02-18
Method for fabricating a gate all around device
Grant 9,263,535 - Colinge , et al. February 16, 2
2016-02-16
Nonplanar Device and Strain-Generating Channel Dielectric
App 20160043225 - Ching; Kuo-Cheng ;   et al.
2016-02-11
Structure and method for FinFET device
Grant 9,257,428 - Ching , et al. February 9, 2
2016-02-09
Semiconductor device and formation thereof
Grant 9,257,559 - Ching , et al. February 9, 2
2016-02-09
Fin Structure of Semiconductor Device
App 20160035827 - Diaz; Carlos H. ;   et al.
2016-02-04
Transistor Design
App 20160035832 - Chen; Wen-Yuan ;   et al.
2016-02-04
FinFETs with gradient germanium-containing channels
Grant 9,245,882 - Ching , et al. January 26, 2
2016-01-26
Transistor having replacement gate and epitaxially grown replacement channel region
Grant 9,236,445 - Liu , et al. January 12, 2
2016-01-12
Mosfet With Selective Dopant Deactivation Underneath Gate
App 20160005817 - SATHAIYA; Dhanyakumar Mahaveer ;   et al.
2016-01-07
Source and drain dislocation fabrication in FinFETs
Grant 9,230,828 - Wu , et al. January 5, 2
2016-01-05
Structure and Method for SRAM FinFET Device
App 20150380410 - Ching; Kuo-Cheng ;   et al.
2015-12-31
Process design to improve transistor variations and performance
Grant 9,224,814 - Yu , et al. December 29, 2
2015-12-29
Structure and method for SRAM FinFET device
Grant 9,224,736 - Ching , et al. December 29, 2
2015-12-29
Semiconductor device with a buried stressor
Grant 9,219,152 - Wu , et al. December 22, 2
2015-12-22
Fin structure of semiconductor device
Grant 9,219,116 - Ching , et al. December 22, 2
2015-12-22
Hindered Phenol Compound, Preparation Thereof And Use Thereof As An Antioxidant
App 20150353858 - SU; Shuo ;   et al.
2015-12-10
Method and structure for FinFET device
Grant 9,209,185 - Ching , et al. December 8, 2
2015-12-08
Structure and method for FinFET device
Grant 9,209,303 - Ching , et al. December 8, 2
2015-12-08
Buried SiGe oxide FinFET scheme for device enhancement
Grant 9,202,917 - Ching , et al. December 1, 2
2015-12-01
Intelligent Unmasking in an In-Memory Database
App 20150331911 - WU; ZHIQIANG
2015-11-19
Transistor design
Grant 9,184,234 - Chen , et al. November 10, 2
2015-11-10
Structure and method for FinFET device
Grant 9,178,067 - Ching , et al. November 3, 2
2015-11-03
Structure and Method for SRAM FinFET Device
App 20150311212 - Ching; Kuo-Cheng ;   et al.
2015-10-29
Structure and Method for FinFET Device
App 20150311336 - Ching; Kuo-Cheng ;   et al.
2015-10-29
Structure and Method for FinFET Device
App 20150311207 - Ching; Kuo-Cheng ;   et al.
2015-10-29
Structure And Method For Finfet Device
App 20150311335 - Ching; Kuo-Cheng ;   et al.
2015-10-29
Electrically Powered Gear Box For A Semitrailer Stabilizer
App 20150300455 - WU; Zhiqiang
2015-10-22
Method And Structure For Finfet Device
App 20150303198 - Ching; Kuo-Cheng ;   et al.
2015-10-22
FinFET Device with High-K Metal Gate Stack
App 20150303305 - Ching; Kuo-Cheng ;   et al.
2015-10-22
Semiconductor device and fabricating the same
Grant 9,153,670 - Wang , et al. October 6, 2
2015-10-06
MOSFET with selective dopant deactivation underneath gate
Grant 9,153,662 - Sathaiya , et al. October 6, 2
2015-10-06
Odata Service Provisioning On Top Of Genil Layer
App 20150253947 - Wu; Zhiqiang ;   et al.
2015-09-10
Tuning Strain in Semiconductor Devices
App 20150214333 - Colinge; Jean-Pierre ;   et al.
2015-07-30
Aligned Gate-all-around Structure
App 20150214318 - Ching; Kuo-Cheng ;   et al.
2015-07-30
Semiconductor Device And Formation Thereof
App 20150200300 - Ching; Kuo-Cheng ;   et al.
2015-07-16
Fin Structure of Semiconductor Device
App 20150200252 - Ching; Kuo-Cheng ;   et al.
2015-07-16
Process Design To Improve Transistor Variations And Performance
App 20150200296 - Yu; Tsung-Hsing ;   et al.
2015-07-16
Transistor Having Replacement Gate And Epitaxially Grown Replacement Channel Region
App 20150200272 - Liu; Chia-Wen ;   et al.
2015-07-16
Transistor Design
App 20150200253 - Chen; Wen-Yuan ;   et al.
2015-07-16
Fin Structure of Semiconductor Device
App 20150194503 - Ching; Kuo-Cheng ;   et al.
2015-07-09
Mosfet Structure With T-shaped Epitaxial Silicon Channel
App 20150194485 - DHANYAKUMAR; Mahaveer Sathaiya ;   et al.
2015-07-09
Buffer unit for slewing platform of tamping machine
Grant 9,062,430 - Peng , et al. June 23, 2
2015-06-23
Multi-gate Semiconductor Devices
App 20150162334 - HO; Jon-Hsu ;   et al.
2015-06-11
Channel Strain Inducing Architecture And Doping Technique At Replacement Poly Gate (rpg) Stage
App 20150162445 - Wu; Zhiqiang ;   et al.
2015-06-11
Structure and Method For FinFET Device With Buried Sige Oxide
App 20150144999 - Ching; Kuo-Cheng ;   et al.
2015-05-28
OData service provisioning on top of GenIL layer
Grant 9,043,809 - Wu , et al. May 26, 2
2015-05-26
Odata Service Provisioning On Top Of Genil Layer
App 20150134683 - Wu; Zhiqiang ;   et al.
2015-05-14
Semiconductor Device and Fabricating the Same
App 20150132901 - Wang; Chih-Hao ;   et al.
2015-05-14
Lubricating Oil Composition And Production Thereof
App 20150126420 - Xin; Shihao ;   et al.
2015-05-07
Tuning strain in semiconductor devices
Grant 9,006,842 - Colinge , et al. April 14, 2
2015-04-14
Aligned gate-all-around structure
Grant 9,006,829 - Colinge , et al. April 14, 2
2015-04-14
Fin structure of semiconductor device
Grant 9,006,786 - Ching , et al. April 14, 2
2015-04-14
Semiconductor Device With Non-linear Surface
App 20150097218 - Chen; Xiaomeng ;   et al.
2015-04-09
Semiconductor Device With Non-linear Surface
App 20150097216 - Chen; Xiaomeng ;   et al.
2015-04-09
MOSFET structure with T-shaped epitaxial silicon channel
Grant 9,000,526 - Dhanyakumar , et al. April 7, 2
2015-04-07
FinFETs with Gradient Germanium-Containing Channels
App 20150091099 - Ching; Kuo-Cheng ;   et al.
2015-04-02
Method for forming a semiconductor transistor device with optimized dopant profile
Grant 8,993,424 - Liu , et al. March 31, 2
2015-03-31
Multi-gate semiconductor devices
Grant 8,987,824 - Ho , et al. March 24, 2
2015-03-24
FinFET Design Controlling Channel Thickness
App 20150079752 - Wu; Zhiqiang ;   et al.
2015-03-19
Multi-gate semiconductor devices and methods of forming the same
Grant 8,981,479 - Wang , et al. March 17, 2
2015-03-17
Method For Fabricating A Gate All Around Device
App 20150048454 - Colinge; Jean-Pierre ;   et al.
2015-02-19
FinFETs and Methods for Forming the Same
App 20150048453 - Ching; Kuo-Cheng ;   et al.
2015-02-19
Method Of Manufacturing An Integrated Circuit
App 20150044847 - WU; Zhiqiang ;   et al.
2015-02-12
Buried Sige Oxide Finfet Scheme For Device Enhancement
App 20150028426 - Ching; Kuo-Cheng ;   et al.
2015-01-29
Thermally Tuning Strain in Semiconductor Devices
App 20150021697 - Colinge; Jean-Pierre ;   et al.
2015-01-22
Fin-type Field Effect Transistor And Method Of Fabricating The Same
App 20150008489 - Ching; Kuo-Cheng ;   et al.
2015-01-08
Fin Structure of Semiconductor Device
App 20150008483 - Ching; Kuo-Cheng ;   et al.
2015-01-08
Non-uniform channel junction-less transistor
Grant 8,916,444 - Goto , et al. December 23, 2
2014-12-23
Tuning Strain in Semiconductor Devices
App 20140353731 - Colinge; Jean-Pierre ;   et al.
2014-12-04
Semiconductor device and fabricating the same
Grant 8,901,607 - Wang , et al. December 2, 2
2014-12-02
Source and Drain Dislocation Fabrication in FinFETs
App 20140349458 - Wu; Zhiqiang ;   et al.
2014-11-27
FinFET design controlling channel thickness
Grant 8,890,207 - Wu , et al. November 18, 2
2014-11-18
Source and drain dislocation fabrication in FinFETs
Grant 8,866,235 - Wu , et al. October 21, 2
2014-10-21
Integrated circuits and manufacturing methods thereof
Grant 8,859,380 - Wu , et al. October 14, 2
2014-10-14
Semiconductor integrated device with channel region
Grant 8,836,018 - Yang , et al. September 16, 2
2014-09-16
Method of fabricating a gate all around device
Grant 8,815,691 - Colinge , et al. August 26, 2
2014-08-26
Method For Fabricating A Finfet Device Including A Stem Region Of A Fin Element
App 20140203334 - Colinge; Jean-Pierre ;   et al.
2014-07-24
Semiconductor Device and Fabricating the Same
App 20140197456 - Wang; Chih-Hao ;   et al.
2014-07-17
FinFET Device and Method of Fabricating Same
App 20140197457 - Wang; Chih-Hao ;   et al.
2014-07-17
Fin-like field effect transistor (FinFET) channel profile engineering method and associated device
Grant 8,765,533 - Hsieh , et al. July 1, 2
2014-07-01
Method of Fabricating a Gate all Around Device
App 20140175561 - Colinge; Jean-Pierre ;   et al.
2014-06-26
Fin-Like Field Effect Transistor (FinFET) Channel Profile Engineering Method And Associated Device
App 20140151761 - Hsieh; Wen-Hsing ;   et al.
2014-06-05
Buffer Unit For Slewing Platform Of Tamping Machine
App 20140147069 - Peng; Jiwen ;   et al.
2014-05-29
Semiconductor Integrated Device with Channel Region
App 20140138763 - Yang; Kai-Chieh ;   et al.
2014-05-22
Source and Drain Dislocation Fabrication in FinFETs
App 20140131812 - Wu; Zhiqiang ;   et al.
2014-05-15
Multi-gate Semiconductor Devices And Methods Of Forming The Same
App 20140103438 - WANG; Chih-Ching ;   et al.
2014-04-17
Aligned Gate-all-around Structure
App 20140054724 - Ching; Kuo-Cheng ;   et al.
2014-02-27
Non-Uniform Channel Junction-Less Transistor
App 20140024182 - Goto; Ken-Ichi ;   et al.
2014-01-23
Source/drain stack stressor for semiconductor device
Grant 8,633,516 - Wu , et al. January 21, 2
2014-01-21
Semi-trailer support loading nut
Grant 8,622,677 - Wu , et al. January 7, 2
2014-01-07
Multi-gate semiconductor devices and methods of forming the same
Grant 8,623,716 - Wang , et al. January 7, 2
2014-01-07
Method of making a FinFET device
Grant 8,614,127 - Yang , et al. December 24, 2
2013-12-24
Method for removing hydrogen sulfide from gaseous stream at normal temperature
Grant 8,591,847 - Liu , et al. November 26, 2
2013-11-26
Odata Service Provisioning On Top Of Genil Layer
App 20130290880 - Wu; Zhiqiang ;   et al.
2013-10-31
Mosfet With Slective Dopant Deactivation Underneath Gate
App 20130256796 - SATHAIYA; Dhanyakumar Mahaveer ;   et al.
2013-10-03
Method of manufacturing source/drain structures
Grant 8,501,570 - Fang , et al. August 6, 2
2013-08-06
FinFET method and structure with embedded underlying anti-punch through layer
Grant 8,497,171 - Wu , et al. July 30, 2
2013-07-30
Non-uniform channel junction-less transistor
Grant 8,487,378 - Goto , et al. July 16, 2
2013-07-16
Multi-gate Semiconductor Devices
App 20130126981 - HO; Jon-Hsu ;   et al.
2013-05-23
Multi-gate Semiconductor Devices And Methods Of Forming The Same
App 20130113042 - Wang; Chih-Ching ;   et al.
2013-05-09
Semiconductor Transistor Device With Optimized Dopant Profile
App 20130113041 - LIU; Chia-Wen ;   et al.
2013-05-09
Mosfet Structure With T-shaped Epitaxial Silicon Channel
App 20130113047 - Dhanyakumar; Mahaveer Sathaiya ;   et al.
2013-05-09
Method of forming a CMOS IC having a compressively stressed metal layer in the NMOS area
Grant 8,435,849 - Wang , et al. May 7, 2
2013-05-07
FinFET Design Controlling Channel Thickness
App 20130056795 - Wu; Zhiqiang ;   et al.
2013-03-07
Semiconductor Device with a Buried Stressor
App 20130043507 - Wu; Zhiqiang ;   et al.
2013-02-21
Semiconductor device with a buried stressor
Grant 8,338,259 - Wu , et al. December 25, 2
2012-12-25
Nmos Transistor With Enhanced Stress Gate
App 20120190158 - Wang; Xin ;   et al.
2012-07-26
Non-uniform Channel Junction-less Transistor
App 20120187486 - Goto; Ken-Ichi ;   et al.
2012-07-26
Integrated Circuits And Manufacturing Methods Thereof
App 20120119298 - WU; Zhiqiang ;   et al.
2012-05-17
Method Of Manufacturing Source/drain Structures
App 20120100681 - FANG; Ziwei ;   et al.
2012-04-26
Semi-trailer Axle And Suspension Connecting Structure
App 20120056398 - Wu; Zhiqiang
2012-03-08
Method to enhance channel stress in CMOS processes
Grant 8,124,486 - Wu , et al. February 28, 2
2012-02-28
Disposable spacer integration with stress memorization technique and silicon-germanium
Grant 8,114,727 - Xiong , et al. February 14, 2
2012-02-14
Novel Method To Enhance Channel Stress In Cmos Processes
App 20110312144 - WU; Zhiqiang ;   et al.
2011-12-22
Novel Method to Improve Performance by Enhancing Poly Gate Doping Concentration in an Embedded SiGe PMOS Process
App 20110306170 - WANG; Xin ;   et al.
2011-12-15
Automatic opening-closing device
Grant 8,075,439 - Ta , et al. December 13, 2
2011-12-13
Novel Method to Enhance Channel Stress in CMOS Processes
App 20110300677 - Wu; Zhiqiang ;   et al.
2011-12-08
Method to enhance channel stress in CMOS processes
Grant 8,048,750 - Wu , et al. November 1, 2
2011-11-01
Method For Removing Hydrogen Sulfide From Gaseous Stream At Normal Temperature
App 20110256044 - LIU; Zhenyi ;   et al.
2011-10-20
Semiconductor Device with a Buried Stressor
App 20110241084 - Wu; Zhiqiang ;   et al.
2011-10-06
Semi-Trailer Support Loading Nut
App 20110188968 - Wu; Zhiqiang ;   et al.
2011-08-04
Nmos Transistor With Enhanced Stress Gate
App 20110175168 - WANG; Xin ;   et al.
2011-07-21
Semi-trailer leg
Grant D636,297 - Wu , et al. April 19, 2
2011-04-19
Disposable Spacer Integration with Stress Memorization Technique and Silicon-Germanium
App 20110070703 - Xiong; Weize ;   et al.
2011-03-24
Method of preparing a semiconductor substrate utilizing plural implants under an isolation region to isolate adjacent wells
Grant 7,662,690 - Tang , et al. February 16, 2
2010-02-16
Transitor Having A Germanium Implant Region Located Therein And A Method Of Manufacture Therefor
App 20100022062 - Liu; Kaiping ;   et al.
2010-01-28
Novel Method to Enhance Channel Stress in CMOS Processes
App 20090227084 - Wu; Zhiqiang ;   et al.
2009-09-10
Opening Device
App 20090188029 - TA; Jingning ;   et al.
2009-07-30
Automatic Opening-closing Device
App 20090186736 - TA; Jingning ;   et al.
2009-07-23
Nut for semi-trailer leg
Grant D592,493 - Wu , et al. May 19, 2
2009-05-19
CMOS transistor using high stress liner layer
Grant 7,429,517 - Wu , et al. September 30, 2
2008-09-30
Nwell to nwell isolation
App 20070176263 - Tang; Shaoping ;   et al.
2007-08-02
Design method and system for optimum performance in integrated circuits that use power management
Grant 7,216,310 - Chatterjee , et al. May 8, 2
2007-05-08
Method to improve SRAM performance and stability
Grant 7,189,627 - Wu , et al. March 13, 2
2007-03-13
Method and apparatus for reducing substrate bias voltage drop
Grant 7,189,602 - Jiang , et al. March 13, 2
2007-03-13
Transistor Having A Germanium Implant Region Located Therein And A Method Of Manufacture Therefor
App 20070004158 - Liu; Kaiping ;   et al.
2007-01-04
Reducing the migration of grain boundaries
Grant 7,129,582 - Liu , et al. October 31, 2
2006-10-31
Method of manufacturing transistor having germanium implant region on the sidewalls of the polysilicon gate electrode
Grant 7,118,979 - Liu , et al. October 10, 2
2006-10-10
Semiconductor device having a localized halo implant therein and method of manufacture therefor
Grant 7,038,258 - Liu , et al. May 2, 2
2006-05-02
Novel method to improve SRAM performance and stability
App 20060040462 - Wu; Zhiqiang ;   et al.
2006-02-23
Reducing the migration of grain boundaries
App 20050263897 - Liu, Kaiping ;   et al.
2005-12-01
CMOS transistor using high stress liner layer
App 20050255659 - Wu, Zhiqiang ;   et al.
2005-11-17
Reducing the migration of grain boundaries
Grant 6,955,980 - Liu , et al. October 18, 2
2005-10-18
Novel process method of source drain spacer engineering to improve transistor capacitance
App 20050212041 - Wu, Zhiqiang ;   et al.
2005-09-29
Semiconductor device having an angled compensation implant and method of manufacture therefor
Grant 6,940,137 - Chen , et al. September 6, 2
2005-09-06
Methods for improving well to well isolation
Grant 6,933,203 - Wu , et al. August 23, 2
2005-08-23
Design method and system for optimum performance in integrated circuits that use power management
App 20050149887 - Chatterjee, Amitava ;   et al.
2005-07-07
Process method of source drain spacer engineering to improve transistor capacitance
Grant 6,913,980 - Wu , et al. July 5, 2
2005-07-05
Transistor having a germanium implant region located therein and a method of manufacture therefor
App 20050093032 - Liu, Kaiping ;   et al.
2005-05-05
Capacitor structure
Grant 6,885,542 - Wu , et al. April 26, 2
2005-04-26
Eliminating substrate noise by an electrically isolated high-voltage I/O transistor
Grant 6,875,650 - Salling , et al. April 5, 2
2005-04-05
Semiconductor device having an angled compensation implant and method of manufacture therefor
App 20050062103 - Chen, Jihong ;   et al.
2005-03-24
Process to reduce gate edge drain leakage in semiconductor devices
Grant 6,855,984 - Wu , et al. February 15, 2
2005-02-15
Capacitor Structure
App 20050030697 - Wu, Zhiqiang ;   et al.
2005-02-10
Transistor circuit with varying resistance lightly doped diffused regions for electrostatic discharge ("ESD") protection
Grant 6,831,337 - Wu , et al. December 14, 2
2004-12-14
High resolution pressure-sensing device having an insulating flexible matrix loaded with filler particles
Grant 6,820,502 - Jiang , et al. November 23, 2
2004-11-23
Method of manufacturing a semiconductor device having a localized halo implant
Grant 6,794,235 - Liu , et al. September 21, 2
2004-09-21
Capacitor structure
Grant 6,787,839 - Wu , et al. September 7, 2
2004-09-07
Method and apparatus for reducing substrate bias voltage drop
Grant 6,788,552 - Jiang , et al. September 7, 2
2004-09-07
Structure and method of MOS transistor having increased substrate resistance
Grant 6,764,909 - Salling , et al. July 20, 2
2004-07-20
Capacitor structure
Grant 6,740,923 - Wu , et al. May 25, 2
2004-05-25
Complementary transistors with controlled drain extension overlap
Grant 6,730,556 - Wu , et al. May 4, 2
2004-05-04
Transistor circuit with varying resistance lightly doped diffused regions for electrostatic discharge (ESD) protection
Grant 6,730,582 - Wu , et al. May 4, 2
2004-05-04
System and method for addressing junction capacitances in semiconductor devices
Grant 6,727,131 - Wu , et al. April 27, 2
2004-04-27
Process of increasing screen dielectric thickness
Grant 6,723,616 - Sridhar , et al. April 20, 2
2004-04-20
ESD improvement by a vertical bipolar transistor with low breakdown voltage and high beta
Grant 6,724,050 - Salling , et al. April 20, 2
2004-04-20
System for reducing segregation and diffusion of halo implants into highly doped regions
Grant 6,713,360 - Jain , et al. March 30, 2
2004-03-30
Apparatus for reducing isolation stress in integrated circuits
Grant 6,703,690 - Thakur , et al. March 9, 2
2004-03-09
Ultrasonic vibration mode for wire bonding
Grant 6,685,083 - Jiang , et al. February 3, 2
2004-02-03
High resolution pressure-sensing device having an insulating flexible matrix loaded with filler particles
Grant 6,684,717 - Jiang , et al. February 3, 2
2004-02-03
Method of making a concave capacitor
Grant 6,682,984 - Wu , et al. January 27, 2
2004-01-27
Methods of forming field effect transistors and related field effect transistor constructions
Grant 6,673,663 - Wu , et al. January 6, 2
2004-01-06

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed