loadpatents
name:-0.064465045928955
name:-0.044991970062256
name:-0.0021240711212158
WITTY; Derek R. Patent Filings

WITTY; Derek R.

Patent Applications and Registrations

Patent applications and USPTO patent grants for WITTY; Derek R..The latest application filed is for "adaptive slurry dispense system".

Company Profile
0.45.61
  • WITTY; Derek R. - Fremont CA
  • Witty; Derek R - Fremont CA US
  • Witty; Derek R. - San Jose CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Adaptive Slurry Dispense System
App 20220193858 - DESHPANDE; Sameer ;   et al.
2022-06-23
Conformal amorphous carbon for spacer and spacer protection applications
Grant 10,236,182 - Kim , et al.
2019-03-19
Ultra-conformal carbon film deposition
Grant 10,074,534 - Behera , et al. September 11, 2
2018-09-11
PEALD of films comprising silicon nitride
Grant 9,984,868 - Nguyen , et al. May 29, 2
2018-05-29
Ultra-conformal Carbon Film Deposition
App 20170301537 - BEHERA; Swayambhu P. ;   et al.
2017-10-19
Ultra-conformal carbon film deposition
Grant 9,721,784 - Behera , et al. August 1, 2
2017-08-01
Conformal Amorphous Carbon For Spacer And Spacer Protection Applications
App 20170170015 - KIM; Sungjin ;   et al.
2017-06-15
Conformal amorphous carbon for spacer and spacer protection applications
Grant 9,570,303 - Kim , et al. February 14, 2
2017-02-14
Apparatus and method for substrate clamping in a plasma chamber
Grant 9,337,072 - Balasubramanian , et al. May 10, 2
2016-05-10
Ultra-conformal Carbon Film Deposition Layer-by-layer Deposition Of Carbon-doped Oxide Films
App 20160005596 - BEHERA; Swayambhu P. ;   et al.
2016-01-07
Conformal Amorphous Carbon For Spacer And Spacer Protection Applications
App 20150279676 - KIM; Sungjin ;   et al.
2015-10-01
Conformal Amorphous Carbon For Spacer And Spacer Protection Applications
App 20140349490 - Kim; Sungjin ;   et al.
2014-11-27
PEALD of Films Comprising Silicon Nitride
App 20140273529 - Nguyen; Victor ;   et al.
2014-09-18
Vbd And Tddb Improvement Thru Interface Engineering
App 20140273516 - XIA; Li-Qun ;   et al.
2014-09-18
Copper oxide removal techniques
Grant 8,758,638 - Ye , et al. June 24, 2
2014-06-24
Deposition of an amorphous carbon layer with high film density and high etch selectivity
Grant 8,679,987 - Reilly , et al. March 25, 2
2014-03-25
Plasma-enhanced chemical vapor deposition of crystalline germanium
Grant 8,598,020 - Nguyen , et al. December 3, 2
2013-12-03
Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
Grant 8,586,487 - Nguyen , et al. November 19, 2
2013-11-19
Deposition Of An Amorphous Carbon Layer With High Film Density And High Etch Selectivity
App 20130302996 - REILLY; Patrick ;   et al.
2013-11-14
Boron film interface engineering
Grant 8,563,090 - Balseanu , et al. October 22, 2
2013-10-22
Method of forming flash memory with ultraviolet treatment
Grant 8,501,568 - Balseanu , et al. August 6, 2
2013-08-06
Engineering Dielectric Films For Cmp Stop
App 20130189841 - Balseanu; Mihaela ;   et al.
2013-07-25
Conformal Amorphous Carbon For Spacer And Spacer Protection Applications
App 20130189845 - Kim; Sungjin ;   et al.
2013-07-25
Low Temperature Plasma Enhanced Chemical Vapor Deposition Of Conformal Silicon Carbon Nitride And Silicon Nitride Films
App 20130183835 - Nguyen; Victor ;   et al.
2013-07-18
Air gap integration scheme
Grant 8,389,376 - Demos , et al. March 5, 2
2013-03-05
Silicon dioxide layer deposited with BDEAS
Grant 8,343,881 - Lee , et al. January 1, 2
2013-01-01
Method for depositing boron-rich films for lithographic mask applications
Grant 8,337,950 - Nguyen , et al. December 25, 2
2012-12-25
Copper Oxide Removal Techniques
App 20120289049 - YE; WEIFENG ;   et al.
2012-11-15
Adhesion Improvement Of Dielectric Barrier To Copper By The Addition Of Thin Interface Layer
App 20120276301 - Lee; Yong-Won ;   et al.
2012-11-01
Methods to improve the in-film defectivity of PECVD amorphous carbon films
Grant 8,282,734 - Padhi , et al. October 9, 2
2012-10-09
Method of forming a non-volatile memory having a silicon nitride charge trap layer
Grant 8,252,653 - Balseanu , et al. August 28, 2
2012-08-28
Method For Depositing An Amorphous Carbon Film With Improved Density And Step Coverage
App 20120208373 - PADHI; DEENESH ;   et al.
2012-08-16
Methods To Improve The In-film Defectivity Of Pecvd Amorphous Carbon Films
App 20120204795 - Padhi; Deenesh ;   et al.
2012-08-16
Method To Increase Silicon Nitride Tensile Stress Using Nitrogen Plasma In-situ Treatment And Ex-situ Uv Cure
App 20120196450 - Balseanu; Mihaela ;   et al.
2012-08-02
Dual Delivery Chamber Design
App 20120097330 - Iyengar; Prahallad ;   et al.
2012-04-26
Boron nitride and boron-nitride derived materials deposition method
Grant 8,148,269 - Balseanu , et al. April 3, 2
2012-04-03
Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
Grant 8,138,104 - Balseanu , et al. March 20, 2
2012-03-20
Plasma-enhanced Chemical Vapor Deposition Of Crystalline Germanium
App 20110315992 - Nguyen; Victor T. ;   et al.
2011-12-29
Method of depositing boron nitride and boron nitride-derived materials
Grant 8,084,105 - Huh , et al. December 27, 2
2011-12-27
Silicon Dioxide Layer Deposited With Bdeas
App 20110298099 - LEE; Yong-Won ;   et al.
2011-12-08
Methods to obtain low k dielectric barrier with superior etch resistivity
Grant 7,964,442 - Xu , et al. June 21, 2
2011-06-21
Method For Depositing An Amorphous Carbon Film With Improved Density And Step Coverage
App 20110104400 - Padhi; Deenesh ;   et al.
2011-05-05
Apparatus And Method For Substrate Clamping In A Plasma Chamber
App 20110090613 - Balasubramanian; Ganesh ;   et al.
2011-04-21
Methods and systems for forming at least one dielectric layer
Grant 7,871,926 - Xia , et al. January 18, 2
2011-01-18
Method for depositing an amorphous carbon film with improved density and step coverage
Grant 7,867,578 - Padhi , et al. January 11, 2
2011-01-11
Method of forming non-volatile memory having charge trap layer with compositional gradient
Grant 7,816,205 - Balseanu , et al. October 19, 2
2010-10-19
Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
Grant 7,802,538 - Padhi , et al. September 28, 2
2010-09-28
Engineering Boron-rich Films For Lithographic Mask Applications
App 20100233633 - Nguyen; Victor ;   et al.
2010-09-16
Method to increase the compressive stress of PECVD dielectric films
Grant 7,790,635 - Balseanu , et al. September 7, 2
2010-09-07
Novel Air Gap Integration Scheme
App 20100151671 - Demos; Alexandros T. ;   et al.
2010-06-17
Boron derived materials deposition method
Grant 7,704,816 - Huh , et al. April 27, 2
2010-04-27
Non-volatile Memory Having Charge Trap Layer With Compositional Gradient
App 20100096688 - Balseanu; Mihaela ;   et al.
2010-04-22
Flash Memory With Treated Charge Trap Layer
App 20100099247 - Balseanu; Mihaela ;   et al.
2010-04-22
Boron Film Interface Engineering
App 20100098884 - BALSEANU; MIHAELA ;   et al.
2010-04-22
Non-volatile Memory Having Silicon Nitride Charge Trap Layer
App 20100096687 - BALSEANU; Mihaela ;   et al.
2010-04-22
Air gap integration scheme
Grant 7,670,924 - Demos , et al. March 2, 2
2010-03-02
Multi-stage curing of low K nano-porous films
Grant 7,611,996 - Schmitt , et al. November 3, 2
2009-11-03
Adhesion And Electromigration Improvement Between Dielectric And Conductive Layers
App 20090269923 - Lee; Sang M. ;   et al.
2009-10-29
Boron Nitride And Boron-nitride Derived Materials Deposition Method
App 20090263972 - Balseanu; Mihaela ;   et al.
2009-10-22
Techniques promoting adhesion of porous low K film to underlying barrier layer
Grant 7,547,643 - Schmitt , et al. June 16, 2
2009-06-16
Adhesion Improvement Of Dielectric Barrier To Copper By The Addition Of Thin Interface Layer
App 20090107626 - Lee; Yong-Won ;   et al.
2009-04-30
Methods and Systems for Forming at Least One Dielectric Layer
App 20090104764 - Xia; Li-Qun ;   et al.
2009-04-23
Methods For High Temperature Deposition Of An Amorphous Carbon Layer
App 20090093128 - SEAMONS; MARTIN JAY ;   et al.
2009-04-09
Method For Forming An Air Gap In Multilevel Interconnect Structure
App 20090093100 - Xia; Li-Qun ;   et al.
2009-04-09
Methods To Obtain Low K Dielectric Barrier With Superior Etch Resistivity
App 20090093132 - XU; HUIWEN ;   et al.
2009-04-09
Methods to improve the in-film defectivity of PECVD amorphous carbon films
Grant 7,514,125 - Padhi , et al. April 7, 2
2009-04-07
Formation of low K material utilizing process having readily cleaned by-products
Grant 7,501,354 - Ho , et al. March 10, 2
2009-03-10
Methods To Improve The In-film Defectivity Of Pecvd Amorphous Carbon Films
App 20090044753 - Padhi; Deenesh ;   et al.
2009-02-19
Boron Derived Materials Deposition Method
App 20090017640 - Huh; Jeong-Uk ;   et al.
2009-01-15
Boron Nitride And Boron Nitride-derived Materials Deposition Method
App 20080292798 - Huh; Jeong-Uk ;   et al.
2008-11-27
Plasma-induced Charge Damage Control For Plasma Enhanced Chemical Vapor Deposition Processes
App 20080254233 - LEE; KWANGDUK DOUGLAS ;   et al.
2008-10-16
Novel Air Gap Integration Scheme
App 20080182404 - DEMOS; ALEXANDROS T. ;   et al.
2008-07-31
Method For Depositing An Amorphous Carbon Film With Improved Density And Step Coverage
App 20080153311 - Padhi; Deenesh ;   et al.
2008-06-26
Method To Increase The Compressive Stress Of Pecvd Dielectric Films
App 20080146007 - Balseanu; Mihaela ;   et al.
2008-06-19
Multi-stage Curing Of Low K Nano-porous Films
App 20080099920 - Schmitt; Francimar ;   et al.
2008-05-01
Apparatus And Method For Substrate Clamping In A Plasma Chamber
App 20080084650 - Balasubramanian; Ganesh ;   et al.
2008-04-10
Method To Increase Silicon Nitride Tensile Stress Using Nitrogen Plasma In-situ Treatment And Ex-situ Uv Cure
App 20080020591 - Balseanu; Mihaela ;   et al.
2008-01-24
Methods To Improve The In-film Defectivity Of Pecvd Amorphous Carbon Films
App 20070295272 - Padhi; Deenesh ;   et al.
2007-12-27
Method To Deposit Functionally Graded Dielectric Films Via Chemical Vapor Deposition Using Viscous Precursors
App 20070079753 - Padhi; Deenesh ;   et al.
2007-04-12
Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
Grant 7,166,544 - Padhi , et al. January 23, 2
2007-01-23
In-situ oxide capping after CVD low k deposition
Grant 7,112,541 - Xia , et al. September 26, 2
2006-09-26
Formation of low K material utilizing process having readily cleaned by-products
App 20060160374 - Ho; Dustin W. ;   et al.
2006-07-20
Tensile and compressive stressed materials for semiconductors
App 20060105106 - Balseanu; Mihaela ;   et al.
2006-05-18
High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
App 20060093756 - Rajagopalan; Nagarajan ;   et al.
2006-05-04
Post treatment of low k dielectric films
Grant 7,018,941 - Cui , et al. March 28, 2
2006-03-28
Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
App 20060046520 - Padhi; Deenesh ;   et al.
2006-03-02
In-situ oxide capping after CVD low k deposition
App 20050250348 - Xia, Li-Qun ;   et al.
2005-11-10
Post Treatment Of Low K Dielectric Films
App 20050239293 - Cui, Zhenjiang ;   et al.
2005-10-27
Multi-stage curing of low K nano-porous films
App 20050230834 - Schmitt, Francimar ;   et al.
2005-10-20
Techniques promoting adhesion of porous low K film to underlying barrier layer
App 20050233591 - Schmitt, Francimar ;   et al.
2005-10-20
Method of operating high density plasma CVD reactor with combined inductive and capacitive coupling
Grant 6,465,051 - Sahin , et al. October 15, 2
2002-10-15
Nitrogen Treatment Of Polished Halogen-doped Silicon Glass
App 20010033900 - M'SAAD, HICHEM ;   et al.
2001-10-25

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed