loadpatents
name:-0.19917488098145
name:-0.21448087692261
name:-0.0079829692840576
Tremblay; Marc Patent Filings

Tremblay; Marc

Patent Applications and Registrations

Patent applications and USPTO patent grants for Tremblay; Marc.The latest application filed is for "data parallelism in distributed training of artificial intelligence models".

Company Profile
8.194.168
  • Tremblay; Marc - Bellevue WA
  • TREMBLAY; Marc - Montreal CA
  • Tremblay; Marc - Clyde Hill WA
  • Tremblay; Marc - Ile Bizard CA
  • Tremblay; Marc - Menlo Park CA US
  • Tremblay; Marc - Pierrefonds CA
  • Tremblay; Marc - Cambridge MA
  • Tremblay; Marc - Palo Alto CA
  • Tremblay; Marc - Pierrefond CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
System and method for gradient accumulation with free momentum
Grant 11,449,752 - Wagner , et al. September 20, 2
2022-09-20
Data Parallelism In Distributed Training Of Artificial Intelligence Models
App 20220283820 - Pudipeddi; Bharadwaj ;   et al.
2022-09-08
Data parallelism in distributed training of artificial intelligence models
Grant 11,436,019 - Pudipeddi , et al. September 6, 2
2022-09-06
System and method for improving convolutional neural network-based machine learning models
Grant 11,436,491 - Bharadwaj , et al. September 6, 2
2022-09-06
Executing Large Artificial Intelligence Models On Memory-constrained Devices
App 20220276871 - PUDIPEDDI; Bharadwaj ;   et al.
2022-09-01
Methods And Systems For Controlling Horticultural Light
App 20220256775 - POIRIER; Jacques ;   et al.
2022-08-18
Method And System For Simulating And Implementing Horticultural Lighting Scenarios
App 20220256776 - DUPRAS; Gabriel ;   et al.
2022-08-18
Dynamic multi-layer execution for artificial intelligence modeling
Grant 11,354,579 - Pudipeddi , et al. June 7, 2
2022-06-07
Decimating Hidden Layers For Training Transformer Models
App 20220108162 - WAGNER; Andy ;   et al.
2022-04-07
Systems And Methods For Error Recovery
App 20220107864 - PUDIPEDDI; Bharadwaj ;   et al.
2022-04-07
Compressing Weights For Distributed Neural Networks
App 20220076112 - WAGNER; Andy ;   et al.
2022-03-10
Forcing Weights Of Transformer Model Layers
App 20220076127 - WAGNER; Andy ;   et al.
2022-03-10
Multi-token Embedding And Classifier For Masked Language Models
App 20220067280 - Wagner; Andy ;   et al.
2022-03-03
Reducing Hardware Resource Utilization for Residual Neural Networks
App 20220067490 - WAGNER; Andy ;   et al.
2022-03-03
Compressing and Decompressing Data for Language Models
App 20220067529 - WAGNER; Andy ;   et al.
2022-03-03
Determining Position Values For Transformer Models
App 20220027576 - WAGNER; Andy ;   et al.
2022-01-27
Compressing Tokens Based On Positions For Transformer Models
App 20220027719 - WAGNER; Andy ;   et al.
2022-01-27
Systems and methods for error recovery
Grant 11,226,859 - Pudipeddi , et al. January 18, 2
2022-01-18
Position Masking for Transformer Models
App 20210365723 - WAGNER; Andy ;   et al.
2021-11-25
Token Packing for Sequence Models
App 20210365633 - WAGNER; Andy ;   et al.
2021-11-25
Systems and Methods for Training a Neural Network
App 20210350226 - WAGNER; Andy ;   et al.
2021-11-11
Dual-momentum Gradient Optimization With Reduced Memory Requirements
App 20210326711 - XI; Jinwen ;   et al.
2021-10-21
Token-position Handling For Sequence Based Neural Networks
App 20210319288 - WAGNER; Andrew ;   et al.
2021-10-14
Spread Neural Networks
App 20210319309 - WAGNER; Andrew ;   et al.
2021-10-14
System And Method For Gradient Accumulation With Free Momentum
App 20210303991 - Wagner; Andrew ;   et al.
2021-09-30
Method and user device for generating predicted survey participation data at the user device
Grant 11,132,701 - Tremblay September 28, 2
2021-09-28
Hardware-assisted Gradient Optimization Using Streamed Gradients
App 20210295141 - XI; Jinwen ;   et al.
2021-09-23
System And Method For Improving Convolutional Neural Network-based Machine Learning Models
App 20210287083 - Bharadwaj; Sujeeth S. ;   et al.
2021-09-16
Accelerator For Dense And Sparse Matrix Computations
App 20210240797 - RASHID; Layali ;   et al.
2021-08-05
Systems And Methods For Error Recovery
App 20210232451 - PUDIPEDDI; Bharadwaj ;   et al.
2021-07-29
Pipelined Neural Network Processing With Continuous And Asynchronous Updates
App 20210097366 - Wagner; Andy ;   et al.
2021-04-01
Lossless Exponent And Lossy Mantissa Weight Compression For Training Deep Neural Networks
App 20210064986 - Xi; Jinwen ;   et al.
2021-03-04
Dynamic Multi-layer Execution For Artificial Intelligence Modeling
App 20210019634 - Pudipeddi; Bharadwaj ;   et al.
2021-01-21
Data Parallelism In Distributed Training Of Artificial Intelligence Models
App 20210019152 - Pudipeddi; Bharadwaj ;   et al.
2021-01-21
Executing Large Artificial Intelligence Models On Memory-constrained Devices
App 20210019151 - Pudipeddi; Bharadwaj ;   et al.
2021-01-21
Computer memory content movement
Grant 10,769,074 - Bennett , et al. Sep
2020-09-08
Computing device performance of low precision arithmetic functions with arrays of pre-calculated values
Grant 10,564,930 - Kulkarni , et al. Feb
2020-02-18
Computing Device Performance Of Low Precision Arithmetic Functions With Arrays Of Pre-calculated Values
App 20190310826 - KULKARNI; Saurabh Mohan ;   et al.
2019-10-10
Computer Memory Content Movement
App 20190138453 - Bennett; John G. ;   et al.
2019-05-09
Method And User Device For Generating Predicted Survey Participation Data At The User Device
App 20180174167 - TREMBLAY; Marc
2018-06-21
Cost-Effective Mobile Connectivity Protocols
App 20180145947 - Barnes; Philip Lionel ;   et al.
2018-05-24
Cost-effective mobile connectivity protocols
Grant 9,876,762 - Barnes , et al. January 23, 2
2018-01-23
Cost-effective mobile connectivity protocols
Grant 9,832,628 - Barnes , et al. November 28, 2
2017-11-28
Energy management by dynamic functionality partitioning
Grant 9,785,225 - Myrberg , et al. October 10, 2
2017-10-10
Cost-effective mobile connectivity protocols
Grant 9,781,664 - Barnes , et al. October 3, 2
2017-10-03
Systems and methods for acoustic wave enabled data storage
Grant 9,653,128 - Barnes , et al. May 16, 2
2017-05-16
Systems And Methods For Acoustic Wave Enabled Data Storage
App 20170053682 - Barnes; Philip Lionel ;   et al.
2017-02-23
LED illuminated decorative mesh assembly
Grant 9,574,726 - Cselenyi , et al. February 21, 2
2017-02-21
Cost-effective mobile connectivity protocols
Grant 9,451,394 - Barnes , et al. September 20, 2
2016-09-20
Systems and methods for acoustic wave enabled data storage
Grant 9,424,893 - Barnes , et al. August 23, 2
2016-08-23
Dynamic functionality partitioning
Grant 9,417,925 - Myrberg , et al. August 16, 2
2016-08-16
Systems and methods for acoustic wave enabled data storage
Grant 9,396,814 - Barnes , et al. July 19, 2
2016-07-19
Systems and methods for acoustic wave enabled data storage
Grant 9,396,810 - Barnes , et al. July 19, 2
2016-07-19
Management Of Exterior Temperatures Encountered By User Of A Portable Electronic Device
App 20160150674 - Barnes; Philip Lionel ;   et al.
2016-05-26
Management of exterior temperatures encountered by user of a portable electronic device in response to an inferred user contact with the portable electronic device
Grant 9,320,174 - Barnes , et al. April 19, 2
2016-04-19
Management of exterior temperatures encountered by user of a portable electronic device
Grant 9,291,399 - Barnes , et al. March 22, 2
2016-03-22
Management of exterior temperatures encountered by user of a portable electronic device using multiple heat-rejection elements
Grant 9,291,400 - Barnes , et al. March 22, 2
2016-03-22
Mechanism for increasing the effective capacity of the working register file
Grant 9,256,438 - Chaudhry , et al. February 9, 2
2016-02-09
Systems And Methods For Acoustic Wave Enabled Data Storage
App 20150371715 - Barnes; Philip Lionel ;   et al.
2015-12-24
Systems And Methods For Acoustic Wave Enabled Data Storage
App 20150371687 - Barnes; Philip Lionel ;   et al.
2015-12-24
Systems And Methods For Acoustic Wave Enabled Data Storage
App 20150357044 - Barnes; Philip Lionel ;   et al.
2015-12-10
Energy Management By Dynamic Functionality Partitioning
App 20150323980 - Myrberg; Mats Eric ;   et al.
2015-11-12
Dynamic allocation of heterogenous memory in a computing system
Grant 9,110,592 - Lee , et al. August 18, 2
2015-08-18
Energy management by dynamic functionality partitioning
Grant 9,110,670 - Myrberg , et al. August 18, 2
2015-08-18
Led Illuminated Decorative Mesh Assembly
App 20150204496 - Cselenyi; Suzanne ;   et al.
2015-07-23
Management Of Exterior Temperatures Encountered By User Of A Portable Electronic Device In Response To An Inferred User Contact With The Portable Electronic Device
App 20150124408 - Barnes; Philip Lionel ;   et al.
2015-05-07
Management of exterior temperatures encountered by user of a portable electronic device in response to an inferred user contact with the portable electronic device
Grant 8,971,043 - Barnes , et al. March 3, 2
2015-03-03
Cost-effective mobile connectivity protocols
Grant 8,965,288 - Barnes , et al. February 24, 2
2015-02-24
Method and system for efficient and exhaustive URL categorization
Grant 8,935,390 - Mirandette , et al. January 13, 2
2015-01-13
Method and structure for solving the evil-twin problem
Grant 8,898,436 - Chaudhry , et al. November 25, 2
2014-11-25
Instruction Set Specific Execution Isolation
App 20140331019 - Parker; Matthew J. ;   et al.
2014-11-06
Management Of Exterior Temperatures Encountered By User Of A Portable Electronic Device In Response To An Inferred User Contact With The Portable Electronic Device
App 20140268573 - Barnes; Philip Lionel ;   et al.
2014-09-18
Management Of Exterior Temperatures Encountered By User Of A Portable Electronic Device
App 20140262127 - Barnes; Philip Lionel ;   et al.
2014-09-18
Management Of Exterior Temperatures Encountered By User Of A Portable Electronic Device Using Multiple Heat-rejection Elements
App 20140262128 - Barnes; Philip Lionel ;   et al.
2014-09-18
Management Of Exterior Temperatures Encountered By User Of A Portable Electronic Device By Reducing Heat Generation By A Component
App 20140266405 - Barnes; Philip Lionel ;   et al.
2014-09-18
Dynamic Management Of Heterogenous Memory
App 20140223098 - Lee; Brian ;   et al.
2014-08-07
Cost-effective Mobile Connectivity Protocols
App 20140187145 - Barnes; Philip Lionel ;   et al.
2014-07-03
Cost-effective Mobile Connectivity Protocols
App 20140185457 - Barnes; Philip Lionel ;   et al.
2014-07-03
Cost-effective Mobile Connectivity Protocols
App 20140187279 - Barnes; Philip Lionel ;   et al.
2014-07-03
Cost-effective Mobile Connectivity Protocols
App 20140187161 - Barnes; Philip Lionel ;   et al.
2014-07-03
Cost-effective Mobile Connectivity Protocols
App 20140189846 - Barnes; Philip Lionel ;   et al.
2014-07-03
Logical power throttling of instruction decode rate for successive time periods
Grant 8,745,419 - Chaudhry , et al. June 3, 2
2014-06-03
Energy Management By Dynamic Functionality Partitioning
App 20140115372 - Myrberg; Mats Eric ;   et al.
2014-04-24
Dynamic Functionality Partitioning
App 20140115128 - Myrberg; Mats Eric ;   et al.
2014-04-24
Method and system for identifying an application type of encrypted traffic
Grant 8,539,221 - Tremblay , et al. September 17, 2
2013-09-17
Processor with a register file that supports multiple-issue execution
Grant 8,447,931 - Chaudhry , et al. May 21, 2
2013-05-21
Method And System For Subscriber Journey Analytics
App 20130019009 - Tremblay; Marc ;   et al.
2013-01-17
Logical Power Throttling
App 20120331314 - Chaudhry; Shailender ;   et al.
2012-12-27
Facilitating transactional execution in a processor that supports simultaneous speculative threading
Grant 8,316,366 - Yip , et al. November 20, 2
2012-11-20
Method And System For Efficient And Exhaustive Url Categorization
App 20120271941 - Mirandette; Olivier ;   et al.
2012-10-25
Method And System For Matching Segment Profiles To A Device Identified By A Privacy-compliant Identifier
App 20120215793 - Arsenault; Nicolas ;   et al.
2012-08-23
Reducing temperature and power by instruction throttling at decode stage of processor pipeline in time constant duration steps
Grant 8,219,831 - Chaudhry , et al. July 10, 2
2012-07-10
Merging checkpoints in an execute-ahead processor
Grant 8,181,002 - Yip , et al. May 15, 2
2012-05-15
Method And System For Generating Metrics Representative Of Policy And Charging Control Rules
App 20120060198 - TREMBLAY; Marc ;   et al.
2012-03-08
Snow Shovel Kit
App 20120049551 - Tremblay; Marc
2012-03-01
Method And System For Collecting And Analyzing Internet Protocol Television Traffic
App 20110252438 - Tremblay; Marc ;   et al.
2011-10-13
Method and apparatus for synchronizing threads on a processor that supports transactional memory
Grant 7,930,695 - Chaudhry , et al. April 19, 2
2011-04-19
Selectively monitoring loads to support transactional program execution
Grant 7,904,664 - Tremblay , et al. March 8, 2
2011-03-08
Clotheslines
Grant 7,878,342 - Lewis , et al. February 1, 2
2011-02-01
Method And System For Remote Control Interactions Analytics
App 20100293567 - GAUDREAU; Jean-Etienne ;   et al.
2010-11-18
Continuing execution in scout mode while a main thread resumes normal execution
Grant 7,836,281 - Tremblay , et al. November 16, 2
2010-11-16
Return address stack recovery in a speculative execution computing apparatus
Grant 7,836,290 - Chaudhry , et al. November 16, 2
2010-11-16
Cooperative preemption mechanism for garbage-collected multi-threaded computation
Grant 7,831,961 - Bush , et al. November 9, 2
2010-11-09
Method And Structure For Solving The Evil-twin Problem
App 20100268919 - Chaudhry; Shailender ;   et al.
2010-10-21
Selectively monitoring stores to support transactional program execution
Grant 7,818,510 - Tremblay , et al. October 19, 2
2010-10-19
Method And System For Identifying An Application Type Of Encrypted Traffic
App 20100250918 - TREMBLAY; Marc ;   et al.
2010-09-30
Logical Power Throttling
App 20100191993 - Chaudhry; Shailender ;   et al.
2010-07-29
Mechanism For Increasing The Effective Capacity Of The Working Register File
App 20100180103 - Chaudhry; Shailender ;   et al.
2010-07-15
Method and structure for explicit software control using scoreboard status information
Grant 7,711,928 - Tremblay , et al. May 4, 2
2010-05-04
Method and apparatus for enforcing membar instruction semantics in an execute-ahead processor
Grant 7,689,813 - Caprioli , et al. March 30, 2
2010-03-30
System, method and data structure for simulated interaction with graphical objects
Grant 7,676,356 - Carmel , et al. March 9, 2
2010-03-09
Recovering A Subordinate Strand From A Branch Misprediction Using State Information From A Primary Strand
App 20100049957 - Tremblay; Marc ;   et al.
2010-02-25
Recovering a subordinate strand from a branch misprediction using state information from a primary strand
Grant 7,664,942 - Tremblay , et al. February 16, 2
2010-02-16
Checkpointing In A Processor That Supports Simultaneous Speculative Threading
App 20100031084 - Tremblay; Marc ;   et al.
2010-02-04
Effective elimination of delay slot handling from a front section of a processor pipeline
Grant 7,634,644 - Chaudhry , et al. December 15, 2
2009-12-15
Method and apparatus for using multiple threads to spectulatively execute instructions
Grant 7,634,641 - Chaudhry , et al. December 15, 2
2009-12-15
Preventing register data flow hazards in an SST processor
Grant 7,610,470 - Chaudhry , et al. October 27, 2
2009-10-27
Facilitating Transactional Execution In A Processor That Supports Simultaneous Speculative Threading
App 20090254905 - Yip; Sherman H. ;   et al.
2009-10-08
Method and apparatus for parallel arithmetic operations
Grant 7,587,582 - Sudharsanan , et al. September 8, 2
2009-09-08
Multiple-thread processor with in-pipeline, thread selectable storage
Grant 7,587,581 - Joy , et al. September 8, 2
2009-09-08
Method and apparatus for supporting different modes of multi-threaded speculative execution
Grant 7,584,346 - Chaudhry , et al. September 1, 2
2009-09-01
Time-multiplexed speculative multi-threading to support single-threaded applications
Grant 7,574,588 - Chaudhry , et al. August 11, 2
2009-08-11
Generation of multiple checkpoints in a processor that supports speculative execution
Grant 7,571,304 - Chaudhry , et al. August 4, 2
2009-08-04
Working register file entries with instruction based lifetime
Grant 7,565,511 - Chaudhry , et al. July 21, 2
2009-07-21
Method and apparatus for enforcing memory reference ordering requirements at the L1 cache level
Grant 7,523,266 - Chaudhry , et al. April 21, 2
2009-04-21
Enforcing memory-reference ordering requirements at the L2 cache level
Grant 7,519,775 - Chaudhry , et al. April 14, 2
2009-04-14
Patchable and/or programmable pre-decode
Grant 7,509,481 - Chaudhry , et al. March 24, 2
2009-03-24
Start transactional execution (STE) instruction to support transactional program execution
Grant 7,500,086 - Tremblay , et al. March 3, 2
2009-03-03
Storing results of resolvable branches during speculative execution to predict branches during non-speculative execution
Grant 7,490,229 - Tremblay , et al. February 10, 2
2009-02-10
Processor with register dirty bit tracking for efficient context switch
Grant 7,490,228 - Tremblay , et al. February 10, 2
2009-02-10
Entering scout-mode when stores encountered during execute-ahead mode exceed the capacity of the store buffer
Grant 7,484,080 - Chaudhry , et al. January 27, 2
2009-01-27
Method and apparatus for facilitating a fast restart after speculative execution
Grant 7,469,334 - Chaudhry , et al. December 23, 2
2008-12-23
Local and global register partitioning technique
Grant 7,437,534 - Tremblay , et al. October 14, 2
2008-10-14
Pipelined processor with multi-cycle grouping for instruction dispatch with inter-group and intra-group dependency checking
Grant 7,430,653 - Tremblay September 30, 2
2008-09-30
Fail instruction to support transactional program execution
Grant 7,418,577 - Tremblay , et al. August 26, 2
2008-08-26
Preventing register data flow hazards in an SST processor
App 20080189531 - Chaudhry; Shailender ;   et al.
2008-08-07
Avoiding locks by transactionally executing critical sections
Grant 7,398,355 - Moir , et al. July 8, 2
2008-07-08
Selectively unmarking load-marked cache lines during transactional program execution
Grant 7,389,383 - Tremblay , et al. June 17, 2
2008-06-17
Facilitating value prediction to support speculative program execution
Grant 7,366,880 - Chaudhry , et al. April 29, 2
2008-04-29
Explicit store-to-instruction-space instruction for self-modifying code and ensuring memory coherence between instruction cache and shared memory using a no-snoop protocol
Grant 7,360,028 - Tremblay April 15, 2
2008-04-15
Patchable and/or programmable decode using predecode selection
Grant 7,353,363 - Chaudhry , et al. April 1, 2
2008-04-01
Start Transactional Execution (ste) Instruction To Support Transactional Program Execution
App 20080022082 - Tremblay; Marc ;   et al.
2008-01-24
Switching method in a multi-threaded processor
Grant 7,316,021 - Joy , et al. January 1, 2
2008-01-01
Selectively Monitoring Loads To Support Transactional Program Execution
App 20070283353 - Tremblay; Marc ;   et al.
2007-12-06
Instruction Folding For A Stack-based Machine
App 20070277021 - O'Connor; James Michael ;   et al.
2007-11-29
Selectively Monitoring Stores To Support Transactional Program Execution
App 20070271445 - Tremblay; Marc ;   et al.
2007-11-22
Deferring loads and stores when a load buffer or store buffer fills during execute-ahead mode
Grant 7,293,161 - Chaudhry , et al. November 6, 2
2007-11-06
Mechanism for eliminating the restart penalty when reissuing deferred instructions
Grant 7,293,160 - Chaudhry , et al. November 6, 2
2007-11-06
Method and apparatus for synchronizing threads on a processor that supports transactional memory
App 20070240158 - Chaudhry; Shailender ;   et al.
2007-10-11
Selectively performing fetches for store operations during speculative execution
Grant 7,277,989 - Chaudhry , et al. October 2, 2
2007-10-02
Working Register File Entries With Instruction Based Lifetime
App 20070226467 - Chaudhry; Shailender ;   et al.
2007-09-27
Effective Elimination Of Delay Slot Handling From A Front Section Of A Processor Pipeline
App 20070226475 - Chaudhry; Shailender ;   et al.
2007-09-27
Patchable And/or Programmable Pre-decode
App 20070226464 - Chaudhry; Shailender ;   et al.
2007-09-27
Patchable And/or Programmable Decode Using Predecode Selection
App 20070226463 - Chaudhry; Shailender ;   et al.
2007-09-27
Selectively monitoring loads to support transactional program execution
Grant 7,269,694 - Tremblay , et al. September 11, 2
2007-09-11
Selectively monitoring stores to support transactional program execution
Grant 7,269,693 - Tremblay , et al. September 11, 2
2007-09-11
Method for reducing lock manipulation overhead during access to critical code sections
Grant 7,269,717 - Tremblay , et al. September 11, 2
2007-09-11
Method and apparatus for avoiding read-after-write hazards in an execute-ahead processor
Grant 7,263,603 - Chaudhry , et al. August 28, 2
2007-08-28
Enforcing memory-reference ordering requirements at the L2 cache level
App 20070198778 - Chaudhry; Shailender ;   et al.
2007-08-23
Selective execution of deferred instructions in a processor that supports speculative execution
Grant 7,257,699 - Chaudhry , et al. August 14, 2
2007-08-14
Avoiding register RAW hazards when returning from speculative execution
Grant 7,257,700 - Chaudhry , et al. August 14, 2
2007-08-14
Method and apparatus for enforcing memory reference ordering requirements at the L1 cache level
App 20070186053 - Chaudhry; Shailender ;   et al.
2007-08-09
Supporting out-of-order issue in an execute-ahead processor
App 20070186081 - Chaudhry; Shailender ;   et al.
2007-08-09
Multiple-thread processor with in-pipeline, thread selectable storage
App 20070174597 - Joy; William N. ;   et al.
2007-07-26
Decoupling register bypassing from pipeline depth
App 20070136562 - Caprioli; Paul ;   et al.
2007-06-14
Return address stack recovery in a speculative execution computing apparatus
App 20070106888 - Chaudhry; Shailender ;   et al.
2007-05-10
Method and apparatus for avoiding write-after-read hazards in an execute-ahead processor
Grant 7,216,219 - Chaudhry , et al. May 8, 2
2007-05-08
Method and apparatus for supporting one or more servers on a single semiconductor chip
Grant 7,216,202 - Chaudhry , et al. May 8, 2
2007-05-08
Method and apparatus for releasing memory locations during transactional execution
Grant 7,206,903 - Moir , et al. April 17, 2
2007-04-17
Multiple-thread processor with in-pipeline, thread selectable storage
Grant 7,185,185 - Joy , et al. February 27, 2
2007-02-27
Facilitating efficient join operations between a head thread and a speculative thread
Grant 7,168,076 - Chaudhry , et al. January 23, 2
2007-01-23
Local and Global Register Partitioning Technique
App 20070016758 - Tremblay; Marc ;   et al.
2007-01-18
Method and structure for explicit software control of data speculation
App 20070006195 - Braun; Christof ;   et al.
2007-01-04
Hardware message buffer for supporting inter-processor communication
Grant 7,152,232 - Chaudhry , et al. December 19, 2
2006-12-19
Efficient Clip-testing
App 20060282650 - Chan; Jeffrey Meng Wah ;   et al.
2006-12-14
Selectively deferring instructions issued in program order utilizing a checkpoint and instruction deferral scheme
App 20060271769 - Chaudhry; Shailender ;   et al.
2006-11-30
Processor with register dirty bit tracking for efficient context switch
App 20060242388 - Tremblay; Marc ;   et al.
2006-10-26
Method and apparatus for fixing bit errors encountered during cache references without blocking
Grant 7,127,643 - Tremblay , et al. October 24, 2
2006-10-24
Method and apparatus for providing fault-tolerance for temporary results within a CPU
Grant 7,124,331 - Tremblay , et al. October 17, 2
2006-10-17
Implicitly derived register specifiers in a processor
Grant 7,117,342 - Tremblay , et al. October 3, 2
2006-10-03
Selectively deferring instructions issued in program order utilizing a checkpoint and multiple deferral scheme
Grant 7,114,060 - Chaudhry , et al. September 26, 2
2006-09-26
Local and global register partitioning in a VLIW processor
Grant 7,114,056 - Tremblay , et al. September 26, 2
2006-09-26
Generation of multiple checkpoints in a processor that supports speculative execution
App 20060212688 - Chaudhry; Shailender ;   et al.
2006-09-21
Method and apparatus for simultaneous speculative threading
App 20060212689 - Chaudhry; Shailender ;   et al.
2006-09-21
Selectively unmarking load-marked cache lines during transactional program execution
App 20060200632 - Tremblay; Marc ;   et al.
2006-09-07
Selectively unmarking load-marked cache lines during transactional program execution
Grant 7,089,374 - Tremblay , et al. August 8, 2
2006-08-08
Facilitating value prediction to support speculative program execution
App 20060149945 - Chaudhry; Shailender ;   et al.
2006-07-06
Time-multiplexed speculative multi-threading to support single-threaded applications
App 20060149946 - Chaudhry; Shailender ;   et al.
2006-07-06
Graphics system with just-in-time decompression of compressed graphics data
Grant 7,071,935 - Deering , et al. July 4, 2
2006-07-04
System, method and data structure for simulated interaction with graphical objects
App 20060122819 - Carmel; Ron ;   et al.
2006-06-08
Method and apparatus for providing error correction within a register file of a CPU
Grant 7,058,877 - Tremblay , et al. June 6, 2
2006-06-06
Facilitating value prediction to support speculative program execution
Grant 7,051,192 - Chaudhry , et al. May 23, 2
2006-05-23
System, method and data structure for simulated interaction with graphical objects
Grant 7,050,955 - Carmel , et al. May 23, 2
2006-05-23
Start transactional execution (STE) instruction to support transactional program execution
App 20060101254 - Tremblay; Marc ;   et al.
2006-05-11
Thread suspension system and method using trapping instructions
Grant 7,013,454 - Bush , et al. March 14, 2
2006-03-14
Efficient handling of a large register file for context switching and function calls and returns
Grant 7,010,674 - Tremblay , et al. March 7, 2
2006-03-07
Selectively performing fetches for store operations during speculative execution
App 20060020757 - Chaudhry; Shailender ;   et al.
2006-01-26
Efficient implementation of multiprecision arithmetic
Grant 6,988,121 - Tremblay , et al. January 17, 2
2006-01-17
Selective execution of deferred instructions in a processor that supports speculative execution
App 20060010309 - Chaudhry; Shailender ;   et al.
2006-01-12
Mechanism for eliminating the restart penalty when reissuing deferred instructions
App 20050278509 - Chaudhry, Shailender ;   et al.
2005-12-15
Method and apparatus for enforcing membar instruction semantics in an execute-ahead processor
App 20050273583 - Caprioli, Paul ;   et al.
2005-12-08
Avoiding register RAW hazards when returning from speculative execution
App 20050273580 - Chaudhry, Shailender ;   et al.
2005-12-08
Method frame storage using multiple memory circuits
App 20050267996 - O'Connor, James Michael ;   et al.
2005-12-01
Method and apparatus for delaying interfering accesses from other threads during transactional program execution
App 20050262301 - Jacobson, Quinn A. ;   et al.
2005-11-24
Entering scout-mode when stores encountered during execute-ahead mode exceed the capacity of the store buffer
App 20050251668 - Chaudhry, Shailender ;   et al.
2005-11-10
Method and apparatus for avoiding WAR hazards in an execute-ahead processor
App 20050251665 - Chaudhry, Shailender ;   et al.
2005-11-10
Method and apparatus for avoiding raw hazards in an execute-ahead processor
App 20050251666 - Chaudhry, Shailender ;   et al.
2005-11-10
Method frame storage using multiple memory circuits
Grant 6,961,843 - O'Connor , et al. November 1, 2
2005-11-01
Facilitating rapid progress while speculatively executing code in scout mode
App 20050223201 - Tremblay, Marc ;   et al.
2005-10-06
Storing results of resolvable branches during speculative execution to predict branches during non-speculative execution
App 20050223200 - Tremblay, Marc ;   et al.
2005-10-06
Method and structure for explicit software control using scoreboard status information
App 20050223194 - Tremblay, Marc ;   et al.
2005-10-06
Method and structure for explicit software control of execution of a thread including a helper subthread
App 20050223385 - Braun, Christof ;   et al.
2005-10-06
Method frame storage using multiple memory circuits
Grant 6,950,923 - O'Connor , et al. September 27, 2
2005-09-27
Method and apparatus for decoupling tag and data accesses in a cache memory
Grant 6,944,724 - Chaudhry , et al. September 13, 2
2005-09-13
Processor with multiple-thread, vertically-threaded pipeline
Grant 6,938,147 - Joy , et al. August 30, 2
2005-08-30
Method and apparatus for delaying interfering accesses from other threads during transactional program execution
Grant 6,938,130 - Jacobson , et al. August 30, 2
2005-08-30
Automatic prefetch of pointers
Grant 6,934,809 - Tremblay , et al. August 23, 2
2005-08-23
Selectively deferring the execution of instructions with unresolved data dependencies as they are issued in program order
App 20050081195 - Chaudhry, Shailender ;   et al.
2005-04-14
Method and apparatus for avoiding locks by speculatively executing critical sections
Grant 6,862,664 - Tremblay , et al. March 1, 2
2005-03-01
Providing fault-tolerance by comparing addresses and data from redundant processors running in lock-step
Grant 6,862,693 - Chaudhry , et al. March 1, 2
2005-03-01
Method and apparatus for updating an error-correcting code during a partial line store
Grant 6,848,071 - Chaudhry , et al. January 25, 2
2005-01-25
Multiple-thread processor for threaded software applications
App 20050010743 - Tremblay, Marc ;   et al.
2005-01-13
Multiple-thread processor with single-thread interface shared among threads
Grant 6,801,997 - Joy , et al. October 5, 2
2004-10-05
Selectively unmarking load-marked cache lines during transactional program execution
App 20040187123 - Tremblay, Marc ;   et al.
2004-09-23
Selectively monitoring stores to support transactional program execution
App 20040187115 - Tremblay, Marc ;   et al.
2004-09-23
Selectively monitoring loads to support transactional program execution
App 20040187116 - Tremblay, Marc ;   et al.
2004-09-23
Pipelined instruction dispatch unit in a superscalar processor
Grant RE38,599 - Tremblay September 21, 2
2004-09-21
Cover for salt and pepper shaker
Grant D494,812 - Tremblay August 24, 2
2004-08-24
Fail instruction to support transactional program execution
App 20040162968 - Tremblay, Marc ;   et al.
2004-08-19
Commit instruction to support transactional program execution
App 20040163082 - Tremblay, Marc ;   et al.
2004-08-19
Method and apparatus for avoiding locks by speculatively executing critical sections
App 20040162948 - Tremblay, Marc ;   et al.
2004-08-19
Start transactional execution (STE) instruction to support transactional program execution
App 20040162967 - Tremblay, Marc ;   et al.
2004-08-19
Switching method in a multi-threaded processor
App 20040162971 - Joy, William N. ;   et al.
2004-08-19
Method and apparatus for delaying interfering accesses from other threads during transactional program execution
App 20040162951 - Jacobson, Quinn A. ;   et al.
2004-08-19
Method and apparatus for using an assist processor and value speculation to facilitate prefetching for a primary processor
Grant 6,772,321 - Chaudhry , et al. August 3, 2
2004-08-03
Performing hardware scout threading in a system that supports simultaneous multithreading
App 20040133767 - Chaudhry, Shailender ;   et al.
2004-07-08
Generating prefetches by speculatively executing code through hardware scout threading
App 20040133769 - Chaudhry, Shailender ;   et al.
2004-07-08
Decompression bit processing with a general purpose alignment tool
Grant 6,757,820 - Sudharsanan , et al. June 29, 2
2004-06-29
Method and apparatus for facilitating flow control during accesses to cache memory
Grant 6,754,775 - Chaudhry , et al. June 22, 2
2004-06-22
Supporting inter-process communication through a conditional trap instruction
Grant 6,732,363 - Chaudhry , et al. May 4, 2
2004-05-04
Method and apparatus for providing fault-tolerance for temporary results within a CPU
App 20040078728 - Tremblay, Marc ;   et al.
2004-04-22
Locking of computer resources
Grant 6,725,308 - Joy , et al. April 20, 2
2004-04-20
Method and apparatus for facilitating speculative loads in a multiprocessor system
Grant 6,718,839 - Chaudhry , et al. April 13, 2
2004-04-13
Using an L2 directory to facilitate speculative loads in a multiprocessor system
Grant 6,721,855 - Chaudhry , et al. April 13, 2
2004-04-13
Marking memory elements based upon usage of accessed information during speculative execution
Grant 6,721,944 - Chaudhry , et al. April 13, 2
2004-04-13
Multiple-thread processor for threaded software applications
Grant 6,718,457 - Tremblay , et al. April 6, 2
2004-04-06
Method and apparatus for facilitating exception handling using a conditional trap instruction
Grant 6,704,862 - Chaudhry , et al. March 9, 2
2004-03-09
Method and apparatus for facilitating speculative stores in a multiprocessor system
Grant 6,704,841 - Chaudhry , et al. March 9, 2
2004-03-09
Arbitration protocol for a shared data cache
Grant 6,704,822 - Tremblay , et al. March 9, 2
2004-03-09
Method and apparatus for supporting multiple cache line invalidations per cycle
Grant 6,701,417 - Chaudhry , et al. March 2, 2
2004-03-02
Switching method in a multi-threaded processor
Grant 6,694,347 - Joy , et al. February 17, 2
2004-02-17
Watering can
Grant D486,553 - Tremblay February 10, 2
2004-02-10
Monitor entry and exit for a speculative thread during space and time dimensional execution
Grant 6,684,398 - Chaudhry , et al. January 27, 2
2004-01-27
Reverse directory for facilitating accesses involving a lower-level cache
Grant 6,684,297 - Chaudhry , et al. January 27, 2
2004-01-27
Method and apparatus for using an assist processor to prefetch instructions for a primary processor
Grant 6,681,318 - Chaudhry , et al. January 20, 2
2004-01-20
Converting an arbitrary fixed point value to a floating point value
Grant 6,671,796 - Sudharsanan , et al. December 30, 2
2003-12-30
Parallel join operation to support space and time dimensional program execution
Grant 6,658,451 - Chaudhry , et al. December 2, 2
2003-12-02
Method and apparatus for providing error correction within a register file of a CPU
App 20030217325 - Tremblay, Marc ;   et al.
2003-11-20
Monitor entry and exit for a speculative thread during space and time dimensional execution
App 20030208673 - Chaudhry, Shailender ;   et al.
2003-11-06
Method frame storage using multiple memory circuits
App 20030200351 - O'Connor, James Michael ;   et al.
2003-10-23
Multiple-thread processor with in-pipeline, thread selectable storage
App 20030191927 - Joy, William N. ;   et al.
2003-10-09
Time-multiplexed speculative multi-threading to support single-threaded applications
App 20030188141 - Chaudhry, Shailender ;   et al.
2003-10-02
Decompression of three-dimensional graphics data using mesh buffer references to reduce redundancy of processing
Grant 6,628,277 - Deering , et al. September 30, 2
2003-09-30
Efficient implementation of multiprecision arithmetic
Grant 6,625,634 - Tremblay , et al. September 23, 2
2003-09-23
Shared write buffer for use by multiple processor units
Grant 6,622,219 - Tremblay , et al. September 16, 2
2003-09-16
Clustered architecture in a VLIW processor
Grant 6,615,338 - Tremblay , et al. September 2, 2
2003-09-02
Automatic prefetch of pointers
App 20030163645 - Tremblay, Marc ;   et al.
2003-08-28
Decompression bit processing with a general purpose alignment tool
App 20030120904 - Sudharsanan, Subramania ;   et al.
2003-06-26
Method frame storage using multiple memory circuits
App 20030115238 - O'Connor, James Michael ;   et al.
2003-06-19
Method and apparatus for fixing bit errors encountered during cache references without blocking
App 20030093726 - Tremblay, Marc ;   et al.
2003-05-15
Compressing and decompressing graphics data using gosub-type instructions and direct and indirect attribute settings
Grant 6,559,842 - Deering , et al. May 6, 2
2003-05-06
Facilitating value prediction to support speculative program execution
App 20030079116 - Chaudlhry, Shailender ;   et al.
2003-04-24
Locking of computer resources
App 20030070021 - Joy, William N. ;   et al.
2003-04-10
Sending both a load instruction and retrieved data from a load buffer to an annex prior to forwarding the load data to register file
Grant 6,542,988 - Tremblay , et al. April 1, 2
2003-04-01
Array access boundary check by executing BNDCHK instruction with comparison specifiers
Grant 6,542,990 - Tremblay , et al. April 1, 2
2003-04-01
Multiple-thread processor with single-thread interface shared among threads
Grant 6,542,991 - Joy , et al. April 1, 2
2003-04-01
Method and apparatus for decoupling tag and data accesses in a cache memory
App 20030056066 - Chaudhry, Shailender ;   et al.
2003-03-20
Hardware message buffer for supporting inter-processor communication
App 20030056020 - Chaudhry, Shailender ;   et al.
2003-03-20
Method frame storage using multiple memory circuits
Grant 6,532,531 - O'Connor , et al. March 11, 2
2003-03-11
Multiple variable cache replacement policy
Grant 6,523,091 - Tirumala , et al. February 18, 2
2003-02-18
Shared instruction cache for multiple processors
Grant 6,523,090 - Tremblay February 18, 2
2003-02-18
Facilitating efficient join operations between a head thread and a speculative thread
App 20030018826 - Chaudhry, Shailender ;   et al.
2003-01-23
Multi-threaded Processor By Multiple-bit Flip-flop Global Substitution
App 20030014612 - JOY, WILLIAM N. ;   et al.
2003-01-16
Switching method in a multi-threaded processor
Grant 6,507,862 - Joy , et al. January 14, 2
2003-01-14
Method and apparatus for facilitating speculative stores in a multiprocessor system
App 20020199063 - Chaudhry, Shailender ;   et al.
2002-12-26
Using an L2 directory to facilitate speculative loads in a multiprocessor system
App 20020199070 - Chaudhry, Shailender ;   et al.
2002-12-26
Method and apparatus for facilitating speculative loads in a multiprocessor system
App 20020199066 - Chaudhry, Shailender ;   et al.
2002-12-26
Instruction fetch unit aligner for a non-power of two size VLIW instruction
Grant 6,499,097 - Tremblay , et al. December 24, 2
2002-12-24
Method and apparatus for facilitating flow control during accesses to cache memory
App 20020188807 - Chaudhry, Shailender ;   et al.
2002-12-12
Methods And Apparatus For Combining A Plurality Of Memory Access Transactions
App 20020184460 - TREMBLAY, MARC ;   et al.
2002-12-05
Reverse directory for facilitating accesses involving a lower-level cache
App 20020178329 - Chaudhry, Shailender ;   et al.
2002-11-28
Shared instruction cache for multiple processors
App 20020174285 - Tremblay, Marc
2002-11-21
Locking Of Computer Resources
App 20020161954 - JOY, WILLIAM N. ;   et al.
2002-10-31
Method and apparatus for updating an error-correcting code during a partial line store
App 20020157056 - Chaudhry, Shailender ;   et al.
2002-10-24
Providing fault-tolerance by comparing addresses and data from redundant processors running in lock-step
App 20020152420 - Chaudhry, Shailender ;   et al.
2002-10-17
Supporting multi-dimensional space-time computing through object versioning
Grant 6,463,526 - Chaudhry , et al. October 8, 2
2002-10-08
Using time stamps to improve efficiency in marking fields within objects
Grant 6,460,067 - Chaudhry , et al. October 1, 2
2002-10-01
Multiple-thread processor with single-thread interface shared among threads
App 20020138717 - Joy, William N. ;   et al.
2002-09-26
Shared write buffer for use by multiple processor units
App 20020116586 - Tremblay, Marc ;   et al.
2002-08-22
Method and apparatus for using an assist processor to prefetch instructions for a primary processor
App 20020095563 - Chaudhry, Shailender ;   et al.
2002-07-18
Marking memory elements based upon usage of accessed information during speculative execution
App 20020095665 - Chaudhry, Shailender ;   et al.
2002-07-18
Switching method in a multi-threaded processor
App 20020078122 - Joy, William N. ;   et al.
2002-06-20
Array access boundary check by executing BNDCHK instruction with comparison specifiers
Grant 6,408,383 - Tremblay , et al. June 18, 2
2002-06-18
Shared write buffer for use by multiple processor units
Grant 6,401,175 - Tremblay , et al. June 4, 2
2002-06-04
Thread suspension system and method using trapping instructions
App 20020052926 - Bush, William ;   et al.
2002-05-02
Execution of instructions that lock and unlock computer resources
App 20020046334 - Wah Chan, Jeffrey Meng ;   et al.
2002-04-18
Supporting space-time dimensional program execution by selectively versioning memory updates
Grant 6,353,881 - Chaudhry , et al. March 5, 2
2002-03-05
Vertically and horizontally threaded processor with multidimensional storage for storing thread data
Grant 6,351,808 - Joy , et al. February 26, 2
2002-02-26
Instruction fetch unit aligner
App 20020016906 - Tremblay, Marc ;   et al.
2002-02-07
Apparatus and method for optimizing die utilization and speed performance by register file splitting
Grant 6,343,348 - Tremblay , et al. January 29, 2
2002-01-29
Multiple variable cache replacement policy
App 20020010839 - Tirumala, Anup S. ;   et al.
2002-01-24
Software branch prediction filtering for a microprocessor
Grant 6,341,348 - Tremblay January 22, 2
2002-01-22
Thread switch logic in a multiple-thread processor
Grant 6,341,347 - Joy , et al. January 22, 2
2002-01-22
Implicitly Derived Register Specifiers In A Processor
App 20010052063 - TREMBLAY, MARC ;   et al.
2001-12-13
Value speculation on an assist processor to facilitate prefetching for a primary processor
App 20010052064 - Chaudhry, Shailender ;   et al.
2001-12-13
Multiple-thread Processor For Threaded Software Applications
App 20010042188 - TREMBLAY, MARC ;   et al.
2001-11-15
Variable Issue-width Vliw Processor
App 20010042187 - TREMBLAY, MARC
2001-11-15
Local And Global Register Partitioning In A Vliw Processor
App 20010042190 - TREMBLAY, MARC ;   et al.
2001-11-15
Efficient method for fetching instructions having a non-power of two size
Grant 6,314,509 - Tremblay , et al. November 6, 2
2001-11-06
Multiple variable cache replacement policy
Grant 6,282,617 - Tirumala , et al. August 28, 2
2001-08-28
Software branch prediction filtering for a microprocessor
App 20010016903 - Tremblay, Marc
2001-08-23
Local stall control method and structure in a microprocessor
Grant 6,279,100 - Tremblay , et al. August 21, 2
2001-08-21
Shared instruction cache for multiple processors
App 20010011327 - Tremblay, Marc
2001-08-02
Efficient handling of a large register file for context switching and function calls and returns
App 20010010075 - Tremblay, Marc ;   et al.
2001-07-26
Clothes hanger
Grant D443,424 - Eiley , et al. June 12, 2
2001-06-12
Facilitating garbage collection during object versioning for space and time dimensional computing
Grant 6,247,027 - Chaudhry , et al. June 12, 2
2001-06-12
Method and apparatus for a high-performance embedded memory management unit
Grant 6,233,667 - Shaylor , et al. May 15, 2
2001-05-15
Elimination of traps and atomics in thread synchronization
Grant 6,230,230 - Joy , et al. May 8, 2
2001-05-08
Shared instruction cache for multiple processors
Grant 6,212,604 - Tremblay April 3, 2
2001-04-03
Efficient handling of a large register file for context switching
Grant 6,205,543 - Tremblay , et al. March 20, 2
2001-03-20
Temporary pipeline register file for a superpipelined superscalar processor
Grant 6,128,721 - Yung , et al. October 3, 2
2000-10-03
Process of executing a method on a stack-based processor
Grant 6,125,439 - Tremblay , et al. September 26, 2
2000-09-26
Apparatus and method for assisting exact garbage collection by using a stack cache of tag bits
Grant 6,101,580 - Agesen , et al. August 8, 2
2000-08-08
Generation isolation system and method for garbage collection
Grant 6,098,089 - O'Connor , et al. August 1, 2
2000-08-01
Look-up switch accelerator and method of operating same
Grant 6,076,141 - Tremblay , et al. June 13, 2
2000-06-13
Non-quick instruction accelerator including instruction identifier and data set storage and method of implementing same
Grant 6,065,108 - Tremblay , et al. May 16, 2
2000-05-16
Method for storing method frames in multiple stacks
Grant 6,058,457 - Tremblay , et al. May 2, 2
2000-05-02
Stack management unit and method for a processor having a stack
Grant 6,038,643 - Tremblay , et al. March 14, 2
2000-03-14
Instruction folding for a stack-based machine
Grant 6,026,485 - O'Connor , et al. February 15, 2
2000-02-15
Hardware virtual machine instruction processor
Grant 6,021,469 - Tremblay , et al. February 1, 2
2000-02-01
Processor with accelerated array access bounds checking
Grant 6,014,723 - Tremblay , et al. January 11, 2
2000-01-11
Replicating code to eliminate a level of indirection during execution of an object oriented computer program
Grant 5,970,242 - O'Connor , et al. October 19, 1
1999-10-19
Write barrier system and method including pointer-specific instruction variant replacement mechanism
Grant 5,953,736 - O'Connor , et al. September 14, 1
1999-09-14
Processor for executing instruction sets received from a network or from a local memory
Grant 5,925,123 - Tremblay , et al. July 20, 1
1999-07-20
Clothes hanger
Grant D408,156 - Eiley , et al. April 20, 1
1999-04-20
Bounded-pause time garbage collection system and method including write barrier associated with a source instance of a partially relocated object
Grant 5,873,105 - Tremblay , et al. February 16, 1
1999-02-16
Bounded-pause time garbage collection system and method including write barrier associated with source and target instances of a partially relocated object
Grant 5,873,104 - Tremblay , et al. February 16, 1
1999-02-16
Bounded-pause time garbage collection system and method including read and write barriers associated with an instance of a partially relocated object
Grant 5,857,210 - Tremblay , et al. January 5, 1
1999-01-05
Write barrier system and method for trapping garbage collection page boundary crossing pointer stores
Grant 5,845,298 - O'Connor , et al. December 1, 1
1998-12-01
Multi-pipeline microprocessor with data precision mode indicator
Grant 5,778,247 - Tremblay July 7, 1
1998-07-07
Rapid register file access by limiting access to a selectable register subset
Grant 5,721,868 - Yung , et al. February 24, 1
1998-02-24
Apparatus and method for prefetching data into an external cache
Grant 5,715,425 - Goldman , et al. February 3, 1
1998-02-03
Hanger with identification clip
Grant 5,611,469 - Eiley , et al. March 18, 1
1997-03-18
Clip for a hanger
Grant D315,992 - Tremblay , et al. April 9, 1
1991-04-09
Garment hanger
Grant 4,984,721 - Eiley , et al. January 15, 1
1991-01-15

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed