loadpatents
name:-0.08142614364624
name:-0.070684194564819
name:-0.00089693069458008
Teo; Lee-Wee Patent Filings

Teo; Lee-Wee

Patent Applications and Registrations

Patent applications and USPTO patent grants for Teo; Lee-Wee.The latest application filed is for "polysilicon design for replacement gate technology".

Company Profile
6.96.84
  • Teo; Lee-Wee - Singapore SG
  • Teo; Lee-Wee - Singanore SG
  • Teo; Lee Wee - US
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Polysilicon Design for Replacement Gate Technology
App 20210288163 - Chuang; Harry-Hak-Lay ;   et al.
2021-09-16
Polysilicon design for replacement gate technology
Grant 11,018,241 - Chuang , et al. May 25, 2
2021-05-25
Polysilicon Design for Replacement Gate Technology
App 20200279935 - Chuang; Harry-Hak-Lay ;   et al.
2020-09-03
Polysilicon design for replacement gate technology
Grant 10,658,492 - Chuang , et al.
2020-05-19
Polysilicon Design for Replacement Gate Technology
App 20190386116 - Chuang; Harry-Hak-Lay ;   et al.
2019-12-19
Polysilicon design for replacement gate technology
Grant 10,403,736 - Chuang , et al. Sep
2019-09-03
Polysilicon Design for Replacement Gate Technology
App 20190035914 - Chuang; Harry-Hak-Lay ;   et al.
2019-01-31
Polysilicon design for replacement gate technology
Grant 10,084,061 - Chuang , et al. September 25, 2
2018-09-25
Polysilicon Design for Replacement Gate Technology
App 20180212036 - Chuang; Harry-Hak-Lay ;   et al.
2018-07-26
Polysilicon design for replacement gate technology
Grant 9,929,251 - Chuang , et al. March 27, 2
2018-03-27
Semiconductor device with partially unsilicided source/drain
Grant 9,780,180 - Chuang , et al. October 3, 2
2017-10-03
Polysilicon Design for Replacement Gate Technology
App 20170278948 - Chuang; Harry-Hak-Lay ;   et al.
2017-09-28
Polysilicon design for replacement gate technology
Grant 9,679,988 - Chuang , et al. June 13, 2
2017-06-13
Methods to stop contact metal from extruding into replacement gates
Grant 9,620,620 - Teo , et al. April 11, 2
2017-04-11
Spacer structures of a semiconductor device
Grant 9,577,051 - Teo , et al. February 21, 2
2017-02-21
Method of fabricating hybrid impact-ionization semiconductor device
Grant 9,525,040 - Zhu , et al. December 20, 2
2016-12-20
Method and apparatus of forming ESD protection device
Grant 9,478,633 - Zhu , et al. October 25, 2
2016-10-25
Method of fabricating a transistor using contact etch stop layers
Grant 9,412,841 - Teo , et al. August 9, 2
2016-08-09
Semiconductor structure with suppressed STI dishing effect at resistor region
Grant 9,299,696 - Chuang , et al. March 29, 2
2016-03-29
Semiconductor Device With Partially Unsilicided Source/drain
App 20160087060 - CHUANG; Harry-Hak-Lay ;   et al.
2016-03-24
Method of forming laterally diffused metal oxide semiconductor transistor with partially unsilicided source/drain
Grant 9,231,084 - Chuang , et al. January 5, 2
2016-01-05
Reduced substrate coupling for inductors in semiconductor devices
Grant 9,196,611 - Chuang , et al. November 24, 2
2015-11-24
Method Of Fabricating A Transistor Using Contact Etch Stop Layers
App 20150333150 - TEO; Lee-Wee ;   et al.
2015-11-19
Integrated circuit system with band to band tunneling and method of manufacture thereof
Grant 9,159,565 - Tan , et al. October 13, 2
2015-10-13
Contact etch stop layers of a field effect transistor
Grant 9,117,894 - Teo , et al. August 25, 2
2015-08-25
Method of fabricating an interconnection structure in a CMOS comprising a step of forming a dummy electrode
Grant 9,105,692 - Chew , et al. August 11, 2
2015-08-11
Control gate
Grant 9,087,813 - Tan , et al. July 21, 2
2015-07-21
Method Of Forming Laterally Diffused Metal Oxide Semiconductor Transistor With Partially Unsilicided Source/drain
App 20150171191 - CHUANG; Harry-Hak-Lay ;   et al.
2015-06-18
Polysilicon Design For Replacement Gate Technology
App 20150132902 - Chuang; Harry-Hak-Lay ;   et al.
2015-05-14
Laterally diffused metal oxide semiconductor transistor with partially unsilicided source/drain
Grant 8,981,495 - Chuang , et al. March 17, 2
2015-03-17
Integrated circuit system employing resistance altering techniques
Grant 8,969,151 - Tan , et al. March 3, 2
2015-03-03
Diffusion Barrier And Method Of Formation Thereof
App 20150008528 - TAN; Shyue Seng ;   et al.
2015-01-08
Polysilicon design for replacement gate technology
Grant 8,890,260 - Chuang , et al. November 18, 2
2014-11-18
Spacer Structures Of A Semiconductor Device
App 20140299937 - TEO; Lee-Wee ;   et al.
2014-10-09
Method and apparatus for reducing gate resistance
Grant 8,836,035 - Chuang , et al. September 16, 2
2014-09-16
Method for improving thermal stability of metal gate
Grant 8,835,294 - Chew , et al. September 16, 2
2014-09-16
Method for fabricating semiconductor devices using stress engineering
Grant 8,836,036 - Tan , et al. September 16, 2
2014-09-16
Spacer structures of a semiconductor device
Grant RE45,060 - Teo , et al. August 5, 2
2014-08-05
Reduced Substrate Coupling for Inductors in Semiconductor Devices
App 20140203375 - CHUANG; HARRY HAK-LAY ;   et al.
2014-07-24
Semiconductor Structure with Suppressed STI Dishing Effect at Resistor Region
App 20140197496 - Chuang; Harry-Hak-Lay ;   et al.
2014-07-17
Spacer structures of a semiconductor device
Grant 8,772,147 - Teo , et al. July 8, 2
2014-07-08
Method Of Fabricating Hybrid Impact-ionization Semiconductor Device
App 20140170820 - Zhu; Ming ;   et al.
2014-06-19
Laterally Diffused Metal Oxide Semiconductor Transistor With Partially Unsilicided Source/drain
App 20140159139 - CHUANG; Harry-Hak-Lay ;   et al.
2014-06-12
Control Gate
App 20140151775 - TAN; Shyue Seng ;   et al.
2014-06-05
Capacitor top plate over source/drain to form a 1T memory device
Grant 8,716,081 - Teo , et al. May 6, 2
2014-05-06
Reduced substrate coupling for inductors in semiconductor devices
Grant 8,697,517 - Chuang , et al. April 15, 2
2014-04-15
Semiconductor structure with suppressed STI dishing effect at resistor region
Grant 8,691,673 - Chuang , et al. April 8, 2
2014-04-08
Method of fabricating hybrid impact-ionization semiconductor device
Grant 8,680,619 - Zhu , et al. March 25, 2
2014-03-25
Method of fabricating semiconductor device by thinning hardmask layers on frontside and backside of substrate
Grant 8,664,079 - Chew , et al. March 4, 2
2014-03-04
Interconnection Structure For N/p Metal Gates
App 20140045328 - CHEW; Han-Guan ;   et al.
2014-02-13
Control gate
Grant 8,647,946 - Tan , et al. February 11, 2
2014-02-11
Method and Apparatus of Forming ESD Protection Device
App 20140038376 - Zhu; Ming ;   et al.
2014-02-06
Spacer Structures Of A Semiconductor Device
App 20140017886 - TEO; Lee-Wee ;   et al.
2014-01-16
High voltage device with partial silicon germanium epi source/drain
Grant 8,614,484 - Teo , et al. December 24, 2
2013-12-24
Methods To Stop Contact Metal From Extruding Into Replacement Gates
App 20130323919 - TEO; Lee-Wee ;   et al.
2013-12-05
Method and apparatus of forming ESD protection device
Grant 8,598,656 - Zhu , et al. December 3, 2
2013-12-03
Interconnection structure for N/P metal gates
Grant 8,586,428 - Chew , et al. November 19, 2
2013-11-19
Integrated circuit having silicon resistor and method of forming the same
Grant 8,563,389 - Chuang , et al. October 22, 2
2013-10-22
Spacer structures of a semiconductor device
Grant 8,557,659 - Teo , et al. October 15, 2
2013-10-15
Memory cell with improved retention
Grant 8,530,310 - Teo , et al. September 10, 2
2013-09-10
Contact Etch Stop Layers Of A Field Effect Transistor
App 20130228834 - TEO; Lee-Wee ;   et al.
2013-09-05
Structures and methods to stop contact metal from extruding into replacement gates
Grant 8,525,270 - Teo , et al. September 3, 2
2013-09-03
Method and apparatus of forming bipolar transistor device
Grant 8,461,621 - Chuang , et al. June 11, 2
2013-06-11
Contact etch stop layers of a field effect transistor
Grant 8,450,216 - Teo , et al. May 28, 2
2013-05-28
Diffusion Barrier And Method Of Formation Thereof
App 20130087889 - TAN; Shyue Seng ;   et al.
2013-04-11
Method for forming low resistance and uniform metal gate
Grant 8,389,359 - Teo , et al. March 5, 2
2013-03-05
Metal gate structure of a semiconductor device
Grant 8,378,428 - Chew , et al. February 19, 2
2013-02-19
Spacer Structures Of A Semiconductor Device
App 20130029482 - TEO; Lee-Wee ;   et al.
2013-01-31
Interconnection Structure For N/p Metal Gates
App 20130012011 - CHEW; Han-Guan ;   et al.
2013-01-10
Laterally diffused metal oxide semiconductor transistor with partially unsilicided source/drain
Grant 8,349,678 - Chuang , et al. January 8, 2
2013-01-08
Diffusion barrier and method of formation thereof
Grant 8,324,031 - Tan , et al. December 4, 2
2012-12-04
Semiconductor Structure With Suppressed Sti Dishing Effect At Resistor Region
App 20120299115 - Chuang; Hak-Lay ;   et al.
2012-11-29
Integrated Circuit Having Silicon Resistor And Method Of Forming The Same
App 20120292739 - CHUANG; Harry-Hak-Lay ;   et al.
2012-11-22
Spacer structures of a semiconductor device
Grant 8,304,840 - Teo , et al. November 6, 2
2012-11-06
Method and apparatus of forming a gate
Grant 8,304,831 - Zhu , et al. November 6, 2
2012-11-06
Interconnection structure for N/P metal gates
Grant 8,304,842 - Chew , et al. November 6, 2
2012-11-06
Hybrid orientation substrate with stress layer
Grant 8,274,115 - Teo , et al. September 25, 2
2012-09-25
Offset gate semiconductor device
Grant 8,258,584 - Chen , et al. September 4, 2
2012-09-04
Integrated circuit system with a floating dielectric region and method of manufacture thereof
Grant 8,242,559 - Yin , et al. August 14, 2
2012-08-14
Semiconductor system using germanium condensation
Grant 8,211,761 - Tan , et al. July 3, 2
2012-07-03
Metal gate structure of a CMOS semiconductor device
Grant 8,183,644 - Chuang , et al. May 22, 2
2012-05-22
Method Of Fabricating Semiconductor Device By Thinning Hardmask Layers On Frontside And Backside Of Substrate
App 20120083095 - CHEW; Han-Guan ;   et al.
2012-04-05
Metal Gate Structure Of A Semiconductor Device
App 20120074475 - CHEW; Han-Guan ;   et al.
2012-03-29
Method of fabricating semiconductor device by thinning hardmask layers on frontside and backside of substrate
Grant 8,143,137 - Chew , et al. March 27, 2
2012-03-27
Method of forming source and drain of field-effect-transistor and structure thereof
Grant 8,138,053 - Utomo , et al. March 20, 2
2012-03-20
Modulation of stress in stress film through ion implantation and its application in stress memorization technique
Grant 8,119,541 - Teo , et al. February 21, 2
2012-02-21
Contact Etch Stop Layers Of A Field Effect Transistor
App 20120032238 - TEO; Lee-Wee ;   et al.
2012-02-09
Spacer Structures Of A Semiconductor Device
App 20120025323 - TEO; Lee-Wee ;   et al.
2012-02-02
Offset Gate Semiconductor Device
App 20120025309 - Chen; Chun-Hung ;   et al.
2012-02-02
Interconnection Structure For N/p Metal Gates
App 20120012937 - CHEW; Han-Guan ;   et al.
2012-01-19
Strain-direct-on-insulator (sdoi) Substrate And Method Of Forming
App 20110278645 - Teo; Lee Wee ;   et al.
2011-11-17
Method of manufacture of an integrated circuit system with self-aligned isolation structures
Grant 8,053,327 - Mishra , et al. November 8, 2
2011-11-08
Method For Improving Thermal Stability Of Metal Gate
App 20110230042 - Chew; Han-Guan ;   et al.
2011-09-22
Method Of Fabricating Hybrid Impact-ionization Semiconductor Device
App 20110227161 - Zhu; Ming ;   et al.
2011-09-22
Reduced Substrate Coupling For Inductors In Semiconductor Devices
App 20110227167 - Chuang; Harry Hak-Lay ;   et al.
2011-09-22
Method And Apparatus Of Forming Bipolar Transistor Device
App 20110220963 - Chuang; Harry Hak-Lay ;   et al.
2011-09-15
Method And Apparatus For Reducing Gate Resistance
App 20110221009 - Chuang; Harry Hak-Lay ;   et al.
2011-09-15
Method and Apparatus of Forming ESD Protection Device
App 20110215404 - Zhu; Ming ;   et al.
2011-09-08
Novel Structures And Methods To Stop Contact Metal From Extruding Into Replacement Gates
App 20110210403 - TEO; Lee-Wee ;   et al.
2011-09-01
Selective STI stress relaxation through ion implantation
Grant 8,008,744 - Teo , et al. August 30, 2
2011-08-30
Method For Fabricating A Semiconductor Device
App 20110201172 - CHEW; Han-Guan ;   et al.
2011-08-18
Strain-direct-on-insulator (SDOI) substrate and method of forming
Grant 7,998,835 - Teo , et al. August 16, 2
2011-08-16
Memory cell structure and method for fabrication thereof
Grant 7,999,300 - Lun , et al. August 16, 2
2011-08-16
Laterally Diffused Metal Oxide Semiconductor Transistor With Partially Unsilicided Source/drain
App 20110193162 - CHUANG; Harry-Hak-Lay ;   et al.
2011-08-11
Method For Forming Low Resistance And Uniform Metal Gate
App 20110195557 - Teo; Lee-Wee ;   et al.
2011-08-11
Method And Apparatus Of Forming A Gate
App 20110193161 - Zhu; Ming ;   et al.
2011-08-11
Process for fabricating a semiconductor device having embedded epitaxial regions
Grant 7,994,010 - Teo , et al. August 9, 2
2011-08-09
Method For Fabricating Semiconductor Devices Using Stress Engineering
App 20110163357 - TAN; Shyue Seng ;   et al.
2011-07-07
High Voltage Device With Partial Silicon Germanium Epi Source/drain
App 20110156142 - Teo; Lee-Wee ;   et al.
2011-06-30
Memory Cell With Improved Retention
App 20110156121 - Teo; Lee Wee ;   et al.
2011-06-30
Control Gate
App 20110115009 - TAN; Shyue Seng ;   et al.
2011-05-19
Enhanced stress for transistors
Grant 7,935,589 - Teo , et al. May 3, 2
2011-05-03
Integrated circuit having a plurality of MOSFET devices
Grant 7,932,178 - Teo , et al. April 26, 2
2011-04-26
Polysilicon Design For Replacement Gate Technology
App 20110057267 - Chuang; Harry Hak-Lay ;   et al.
2011-03-10
Integrated Circuit System With Band To Band Tunneling And Method Of Manufacture Thereof
App 20110042757 - Tan; Shyue Seng ;   et al.
2011-02-24
Selective stress relaxation of contact etch stop layer through layout design
Grant 7,888,214 - Teo , et al. February 15, 2
2011-02-15
Avoiding plasma charging in integrated circuits
Grant 7,846,800 - Tan , et al. December 7, 2
2010-12-07
Integrated Circuit System With Vertical Control Gate And Method Of Manufacture Thereof
App 20100304556 - Yin; Chunshan ;   et al.
2010-12-02
Integrated circuit system employing grain size enlargement
Grant 7,833,888 - Tan , et al. November 16, 2
2010-11-16
Methods for normalizing strain in a semiconductor device
Grant 7,816,274 - Teo , et al. October 19, 2
2010-10-19
Integrated Circuit System With A Floating Dielectric Region And Method Of Manufacture Thereof
App 20100258868 - Yin; Chunshan ;   et al.
2010-10-14
Selective Sti Stress Relaxation Through Ion Implantation
App 20100230777 - TEO; Lee Wee ;   et al.
2010-09-16
Method for fabricating device structures having a variation in electrical conductivity
Grant 7,795,104 - Quek , et al. September 14, 2
2010-09-14
Memory Cell Structure And Method For Fabrication Thereof
App 20100187587 - LUN; Zhao ;   et al.
2010-07-29
Selective STI stress relaxation through ion implantation
Grant 7,727,856 - Teo , et al. June 1, 2
2010-06-01
Integrated circuit system employing a condensation process
Grant 7,692,213 - Teo , et al. April 6, 2
2010-04-06
Diffusion Barrier And Method Of Formation Thereof
App 20090315152 - TAN; Shyue Seng ;   et al.
2009-12-24
Pfet Enhancement During Smt
App 20090302401 - TEO; Lee Wee ;   et al.
2009-12-10
Modulation of Stress in Stress Film through Ion Implantation and Its Application in Stress Memorization Technique
App 20090286365 - Teo; Lee Wee ;   et al.
2009-11-19
Integrated Circuit System Employing Grain Size Enlargement
App 20090280629 - Tan; Chung Foong ;   et al.
2009-11-12
Enhanced Stress For Transistors
App 20090267117 - TEO; Lee Wee ;   et al.
2009-10-29
Methods For Normalizing Strain In A Semiconductor Device
App 20090246920 - Teo; Lee Wee ;   et al.
2009-10-01
Hybrid Orientation Substrate With Stress Layer
App 20090236663 - Teo; Lee Wee ;   et al.
2009-09-24
Modulation of stress in stress film through ion implantation and its application in stress memorization technique
Grant 7,592,270 - Teo , et al. September 22, 2
2009-09-22
Avoiding Plasma Charging In Integrated Circuits
App 20090224326 - TAN; Chung Foong ;   et al.
2009-09-10
Integrated Circuit System Employing Resistance Altering Techniques
App 20090221117 - Tan; Shyue Seng ;   et al.
2009-09-03
Method For Fabricating Device Structures Having A Variation In Electrical Conductivity
App 20090203185 - Quek; Elgin Kiok Boone ;   et al.
2009-08-13
Elimination of STI recess and facet growth in embedded silicon-germanium (eSiGe) module
App 20090184341 - Chong; Yung Fu ;   et al.
2009-07-23
Strain-direct-on-insulator (SDOI) substrate and method of forming
App 20090179226 - Teo; Lee Wee ;   et al.
2009-07-16
Process For Fabricating A Semiconductor Device Having Embedded Epitaxial Regions
App 20090170268 - Teo; Lee Wee ;   et al.
2009-07-02
Integrated Circuit System Employing Diffused Source/drain Extensions
App 20090146181 - Lai; Chung Woh ;   et al.
2009-06-11
Integrated Circuit System Employing Fluorine Doping
App 20090090975 - Ong; Shiang Yang ;   et al.
2009-04-09
Integrated Circuit System Employing A Condensation Process
App 20090039388 - Teo; Lee Wee ;   et al.
2009-02-12
Capacitor Top Plate Over Source/drain To Form A 1t Memory Device
App 20080224228 - Teo; Lee Wee ;   et al.
2008-09-18
Method Of Forming Source And Drain Of Field-effect-transistor And Structure Thereof
App 20080166847 - Utomo; Henry K. ;   et al.
2008-07-10
Integrated Circuit Having A Plurality Of Mosfet Devices
App 20080157223 - Teo; Lee Wee ;   et al.
2008-07-03
Integrated Circuit System Employing Strained Technology
App 20080157118 - Lee; Jae Gon ;   et al.
2008-07-03
Selective STI Stress Relaxation Through Ion Implantation
App 20080150037 - Teo; Lee Wee ;   et al.
2008-06-26
Integrated Circuit System With Isolation
App 20080150074 - Mishra; Shailendra ;   et al.
2008-06-26
Modulation of Stress in Stress Film through Ion Implantation and Its Application in Stress Memorization Technique
App 20080064191 - Teo; Lee Wee ;   et al.
2008-03-13
Semiconductor System Using Germanium Condensation
App 20080042209 - Tan; Shyue Seng ;   et al.
2008-02-21
Modulation of stress in stress film through ion implantation and its application in stress memorization technique
App 20070141775 - Teo; Lee Wee ;   et al.
2007-06-21
Selective stress relaxation of contact etch stop layer through layout design
App 20070132032 - Teo; Lee Wee ;   et al.
2007-06-14
Synthesis of GE nanocrystal memory cell and using a block layer to control oxidation kinetics
App 20060166435 - Teo; Lee Wee ;   et al.
2006-07-27
Process to manufacture nonvolatile MOS memory device
Grant 6,962,850 - Ho , et al. November 8, 2
2005-11-08
Process to manufacture nonvolatile MOS memory device
App 20050074939 - Ho, Vincent ;   et al.
2005-04-07

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed