loadpatents
name:-0.081721067428589
name:-0.20708894729614
name:-0.0057909488677979
SUBRAMANIAN; Ramkumar Patent Filings

SUBRAMANIAN; Ramkumar

Patent Applications and Registrations

Patent applications and USPTO patent grants for SUBRAMANIAN; Ramkumar.The latest application filed is for "real time detection and monitoring of fluid volume and flow rate".

Company Profile
6.190.66
  • SUBRAMANIAN; Ramkumar - San Diego CA
  • SUBRAMANIAN; Ramkumar - Hyderabad IN
  • Subramanian; Ramkumar - Fremont CA
  • Subramanian; Ramkumar - Telangana IN
  • Subramanian; Ramkumar - Banjara Hills Hyderabad
  • Subramanian; Ramkumar - Sunnyvale CA US
  • Subramanian; Ramkumar - Peoria IL
  • Subramanian; Ramkumar - San Jose CA
  • Subramanian; Ramkumar - Redmond WA
  • Subramanian; Ramkumar - Kirkland WA
  • Subramanian; Ramkumar - Dallas TX
  • Subramanian; Ramkumar - Mountain View CA
  • Subramanian, Ramkumar - Sunnyval CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Real Time Detection And Monitoring Of Fluid Volume And Flow Rate
App 20220126020 - ABAL; Daniel M. ;   et al.
2022-04-28
Intravenous Fluid Container Volume Monitoring System
App 20210401670 - ABAL; Daniel M. ;   et al.
2021-12-30
Secure Inventory Access And Control Mechanism
App 20210383631 - RAHILLY; Michael K. ;   et al.
2021-12-09
Integrated Liquid Flow Closed Loop Sensing And Control
App 20210369963 - ABAL; Daniel M. ;   et al.
2021-12-02
Infusion Pump Sensing System
App 20210369962 - ABAL; Daniel M. ;   et al.
2021-12-02
Real Time Drip Chamber Monitor
App 20210369959 - ABAL; Daniel M. ;   et al.
2021-12-02
Wasting Station For Medications
App 20210358257 - Abal; Daniel ;   et al.
2021-11-18
Pyrrolo-pyridazine Derivatives As Muscarinic M1 Receptor Positive Allosteric Modulators
App 20210340148 - NIROGI; Ramakrishna ;   et al.
2021-11-04
Interactive Inventory Storage Device, System, And Method
App 20210304135 - RAHILLY; Michael K. ;   et al.
2021-09-30
Interactive inventory storage device, system, and method
Grant 11,113,661 - Rahilly , et al. September 7, 2
2021-09-07
Modular Witnessing Device
App 20210265032 - Burgess; Brendan John ;   et al.
2021-08-26
Secure inventory access and control mechanism
Grant 11,100,741 - Rahilly , et al. August 24, 2
2021-08-24
Secure Smart Container Assembly, Systems, And Methods
App 20210201256 - RAHILLY; Michael K. ;   et al.
2021-07-01
Secure smart container assembly, systems, and methods
Grant 10,956,864 - Rahilly , et al. March 23, 2
2021-03-23
Smart Wasting Station For Medications
App 20210027259 - Burgess; Brendan J. ;   et al.
2021-01-28
Interactive Inventory Storage Device, System, And Method
App 20200410446 - RAHILLY; Michael ;   et al.
2020-12-31
Secure Smart Container Assembly, Systems, And Methods
App 20200410433 - RAHILLY; Michael ;   et al.
2020-12-31
Secure Inventory Access And Control Mechanism
App 20200410801 - RAHILLY; Michael ;   et al.
2020-12-31
Method of tungsten etching
Grant RE47,650 - Subramanian , et al. Oc
2019-10-15
Fluoroindole derivatives as muscarinic M1 receptor positive allosteric modulators
Grant 10,294,217 - Nirogi , et al.
2019-05-21
System and method for detecting a process point in multi-mode pulse processes
Grant 10,242,849 - Kabouzi , et al.
2019-03-26
Fluoroindole Derivatives as Muscarinic M1 Receptor Positive Allosteric Modulators
App 20180244655 - Nirogi; Ramakrishna ;   et al.
2018-08-30
Amide compounds as 5-HT4 receptor agonists
Grant 9,957,257 - Nirogi , et al. May 1, 2
2018-05-01
Amide Compounds As 5-ht4 Receptor Agonists
App 20180051011 - NIROGI; Ramakrishna ;   et al.
2018-02-22
System And Method For Detecting A Process Point In Mult-mode Pulse Processes
App 20170207070 - Kabouzi; Yassine ;   et al.
2017-07-20
System and Method for Detecting a Process Point in Multi-Mode Pulse Processes
App 20160111261 - Kabouzi; Yassine ;   et al.
2016-04-21
Method of tungsten etching
Grant 9,230,825 - Subramanian , et al. January 5, 2
2016-01-05
In-situ generation of the molecular etcher carbonyl fluoride or any of its variants and its use
Grant 8,932,406 - Mitchell , et al. January 13, 2
2015-01-13
Method Of Tungsten Etching
App 20140120727 - SUBRAMANIAN; Ramkumar ;   et al.
2014-05-01
In-situ Generation Of The Molecular Etcher Carbonyl Fluoride Or Any Of Its Variants And Its Use
App 20140060571 - Mitchell; Glenn ;   et al.
2014-03-06
In-situ Tco Chamber Clean
App 20140060574 - Wyse; Carrie ;   et al.
2014-03-06
Systems and methods that control liquid temperature in immersion lithography to maintain temperature gradient to reduce turbulence
Grant 8,547,521 - Dakshina-Murthy , et al. October 1, 2
2013-10-01
Vehicle collision avoidance system
Grant 8,423,280 - Edwards , et al. April 16, 2
2013-04-16
Vehicle Collision Avoidance System
App 20130027559 - EDWARDS; David ;   et al.
2013-01-31
Vehicle collision avoidance system
Grant 8,280,621 - Edwards , et al. October 2, 2
2012-10-02
Vehicle Collision Avoidance System
App 20120245798 - Coats; Robert Martin ;   et al.
2012-09-27
Vehicle collision avoidance system
Grant 8,170,787 - Coats , et al. May 1, 2
2012-05-01
Machine guidance system
Grant 8,099,205 - Coats , et al. January 17, 2
2012-01-17
System and method for imprint lithography to facilitate dual damascene integration with two imprint acts
Grant 8,007,631 - Dakshina-Murthy , et al. August 30, 2
2011-08-30
Inverse resist coating process
Grant 7,943,289 - Rangarajan , et al. May 17, 2
2011-05-17
Colorization Of Bitmaps
App 20110043535 - Kwiatkowski; Paul J. ;   et al.
2011-02-24
Surface treatment with an acidic composition to prevent substrate and environmental contamination
Grant 7,799,514 - Subramanian , et al. September 21, 2
2010-09-21
Machine guidance system
App 20100010703 - Coats; Robert M. ;   et al.
2010-01-14
Mask having sidewall absorbers to enable the printing of finer features in nanoprint lithography (1XMASK)
Grant 7,604,903 - Singh , et al. October 20, 2
2009-10-20
Vehicle collision avoidance system
App 20090259400 - Coats; Robert Martin ;   et al.
2009-10-15
Vehicle collision avoidance system
App 20090259401 - Edwards; David ;   et al.
2009-10-15
Recirculation and reuse of dummy dispensed resist
Grant 7,591,902 - Rangarajan , et al. September 22, 2
2009-09-22
Personalization of user accessibility options
Grant 7,554,522 - Sinclair, II , et al. June 30, 2
2009-06-30
Positioning of nanoparticles and fabrication of single election devices
Grant 7,465,953 - Koh , et al. December 16, 2
2008-12-16
Feedback control of imprint mask feature profile using scatterometry and spacer etchback
Grant 7,449,348 - Dakshina-Murthy , et al. November 11, 2
2008-11-11
Imprint lithography mask trimming for imprint mask using etch
Grant 7,384,569 - Dakshina-Murthy , et al. June 10, 2
2008-06-10
Post fabrication CD modification on imprint lithography mask
Grant 7,386,162 - Dakshina-Murthy , et al. June 10, 2
2008-06-10
Using supercritical fluids to clean lenses and monitor defects
Grant 7,381,278 - Subramanian , et al. June 3, 2
2008-06-03
Topography compensation of imprint lithography patterning
Grant 7,376,259 - Dakshina-Murthy , et al. May 20, 2
2008-05-20
Method of making an organic memory cell
Grant 7,374,654 - Chang , et al. May 20, 2
2008-05-20
Two mask photoresist exposure pattern for dense and isolated regions
Grant 7,368,225 - Subramanian , et al. May 6, 2
2008-05-06
Silicon-containing resist to pattern organic low k-dielectrics
Grant 7,309,659 - Subramanian , et al. December 18, 2
2007-12-18
Extraction of tool independent line-edge-roughness (LER) measurements using in-line programmed LER and reliability structures
Grant 7,310,155 - Capodieci , et al. December 18, 2
2007-12-18
System And Method For Imprint Lithography To Facilitate Dual Damascene Integration With Two Imprint Acts
App 20070283883 - Dakshina-Murthy; Srikanteswara ;   et al.
2007-12-13
Method for manufacturing place & route based on 2-D forbidden patterns
Grant 7,305,645 - Capodieci , et al. December 4, 2
2007-12-04
Recirculation And Reuse Of Dummy Dispensed Resist
App 20070261636 - Rangarajan; Bharath ;   et al.
2007-11-15
Systems and methods of imprint lithography with adjustable mask
Grant 7,295,288 - Subramanian , et al. November 13, 2
2007-11-13
Frame structure for turbulence control in immersion lithography
Grant 7,289,193 - Subramanian , et al. October 30, 2
2007-10-30
Organic BARC with adjustable etch rate
Grant 7,262,138 - Singh , et al. August 28, 2
2007-08-28
Use of supercritical fluid to dry wafer and clean lens in immersion lithography
Grant 7,262,422 - Subramanian , et al. August 28, 2
2007-08-28
Osmotic dosage form with controlled release and fast release aspects
App 20070190137 - Iran; Reyes ;   et al.
2007-08-16
In-situ reticle contamination detection system at exposure wavelength
Grant 7,251,033 - Phan , et al. July 31, 2
2007-07-31
Using scatterometry to verify contact hole opening during tapered bilayer etch
Grant 7,235,414 - Subramanian , et al. June 26, 2
2007-06-26
System and method for imprint lithography to facilitate dual damascene integration with two imprint acts
Grant 7,235,474 - Dakshina-Murthy , et al. June 26, 2
2007-06-26
In-situ defect monitor and control system for immersion medium in immersion lithography
Grant 7,224,456 - Phan , et al. May 29, 2
2007-05-29
Composite alignment mark scheme for multi-layers in lithography
Grant 7,221,060 - Singh , et al. May 22, 2
2007-05-22
Systems and methods that employ exposure compensation to provide uniform CD control on reticle during fabrication
Grant 7,187,796 - Phan , et al. March 6, 2
2007-03-06
Use of supercritical fluid to dry wafer and clean lens in immersion lithography
App 20070026345 - Subramanian; Ramkumar ;   et al.
2007-02-01
Real time immersion medium control using scatterometry
Grant 7,158,896 - Singh , et al. January 2, 2
2007-01-02
Using supercritical fluids to clean lenses and monitor defects
Grant 7,156,925 - Subramanian , et al. January 2, 2
2007-01-02
Re-circulation and reuse of dummy-dispensed resist
Grant 7,153,364 - Rangarajan , et al. December 26, 2
2006-12-26
SO.sub.2 treatment of oxidized CuO for copper sulfide formation of memory element growth
Grant 7,115,440 - Lyons , et al. October 3, 2
2006-10-03
Surface oxide tabulation and photo process control and cost savings
Grant 7,109,046 - Subramanian , et al. September 19, 2
2006-09-19
System and method for creation of semiconductor multi-sloped features
Grant 7,084,988 - Rangarajan , et al. August 1, 2
2006-08-01
Dual layer patterning scheme to make dual damascene
Grant 7,078,348 - Singh , et al. July 18, 2
2006-07-18
Scatterometry and acoustic based active control of thin film deposition process
Grant 7,079,975 - Halliyal , et al. July 18, 2
2006-07-18
Scatterometry monitor in cluster process tool environment for advanced process control (APC)
Grant 7,076,320 - Phan , et al. July 11, 2
2006-07-11
Personalization of user accessibility options
App 20060139312 - Sinclair; Robert E. II ;   et al.
2006-06-29
Optical monitoring and control of two layers of liquid immersion media
Grant 7,065,427 - Dakshina-Murthy , et al. June 20, 2
2006-06-20
Non-lithographic shrink techniques for improving line edge roughness and using imperfect (but simpler) BARCs
Grant 7,064,846 - Amblard , et al. June 20, 2
2006-06-20
Shallow trench isolation polish stop layer for reduced topography
Grant 7,056,804 - Lyons , et al. June 6, 2
2006-06-06
System and method using in situ scatterometry to detect photoresist pattern integrity during the photolithography process
Grant 7,052,921 - Plat , et al. May 30, 2
2006-05-30
System and method for active control of etch process
Grant 7,052,575 - Rangarajan , et al. May 30, 2
2006-05-30
System and method for defect identification and location using an optical indicia device
Grant 7,034,930 - Subramanian , et al. April 25, 2
2006-04-25
Patterning for elongated V.sub.SS contact flash memory
Grant 7,018,922 - Kim , et al. March 28, 2
2006-03-28
Sidewall formation for high density polymer memory element array
Grant 7,015,504 - Lyons , et al. March 21, 2
2006-03-21
Metal bridging monitor for etch and CMP endpoint detection
Grant 7,011,762 - Lyons , et al. March 14, 2
2006-03-14
Damascene process for a T-shaped gate electrode
Grant 7,008,832 - Subramanian , et al. March 7, 2
2006-03-07
Means of delivering drugs in an ascending zero order release pattern
App 20060034927 - Casadevall; Gemma ;   et al.
2006-02-16
Refractive index system monitor and control for immersion lithography
Grant 6,999,254 - Phan , et al. February 14, 2
2006-02-14
Scatterometry with grating to observe resist removal rate during etch
Grant 6,982,043 - Subramanian , et al. January 3, 2
2006-01-03
Using scatterometry to detect and control undercut for ARC with developable BARCs
Grant 6,972,201 - Subramanian , et al. December 6, 2
2005-12-06
Artificial intelligence system for track defect problem solving
Grant 6,954,678 - Phan , et al. October 11, 2
2005-10-11
Organic memory cell formation on Ag substrate
Grant 6,936,545 - Xie , et al. August 30, 2
2005-08-30
Copper oxide monitoring by scatterometry/ellipsometry during nitride or BLOK removal in damascene process
Grant 6,934,032 - Subramanian , et al. August 23, 2
2005-08-23
Feed forward process control using scatterometry for reticle fabrication
Grant 6,931,618 - Tabery , et al. August 16, 2
2005-08-16
Inverse resist coating process
App 20050164133 - Rangarajan, Bharath ;   et al.
2005-07-28
Comprehensive integrated lithographic process control system based on product design and yield feedback system
Grant 6,915,177 - Phan , et al. July 5, 2
2005-07-05
Using scatterometry to obtain measurements of in circuit structures
Grant 6,912,438 - Choo , et al. June 28, 2
2005-06-28
Growing copper vias or lines within a patterned resist using a copper seed layer
Grant 6,905,950 - Subramanian , et al. June 14, 2
2005-06-14
Contact etch resistant spacers
App 20050121738 - Gabriel, Calvin T. ;   et al.
2005-06-09
Patterning for elliptical Vss contact on flash memory
Grant 6,900,124 - Kim , et al. May 31, 2
2005-05-31
System for rapidly and uniformly cooling resist
Grant 6,889,763 - Subramanian , et al. May 10, 2
2005-05-10
Sidewall formation for high density polymer memory element array
App 20050092983 - Lyons, Christopher F. ;   et al.
2005-05-05
Fab correlation system
Grant 6,878,560 - Rangarajan , et al. April 12, 2
2005-04-12
Use of scatterometry as a control tool in the manufacture of extreme UV masks
Grant 6,879,406 - Rangarajan , et al. April 12, 2
2005-04-12
Photosensitive polymeric memory elements
Grant 6,878,961 - Lyons , et al. April 12, 2
2005-04-12
Systems and methods to determine seed layer thickness of trench sidewalls
Grant 6,879,051 - Singh , et al. April 12, 2
2005-04-12
Method for forming SAC using a dielectric as a BARC and FICD enlarger
Grant 6,878,622 - Yang , et al. April 12, 2
2005-04-12
E-beam flood exposure of spin-on material to eliminate voids in vias
Grant 6,869,888 - Plat , et al. March 22, 2
2005-03-22
Photosensitive Polymeric Memory Elements
App 20050045877 - Lyons, Christopher F. ;   et al.
2005-03-03
Monitor and control of silicidation using fourier transform infrared scatterometry
Grant 6,849,469 - Thuruthiyil , et al. February 1, 2
2005-02-01
N-containing plasma etch process with reduced resist poisoning
Grant 6,846,749 - Gabriel , et al. January 25, 2
2005-01-25
Refractive index system monitor and control for immersion lithography
Grant 6,844,206 - Phan , et al. January 18, 2
2005-01-18
System for monitoring and analyzing diagnostic data of spin tracks
Grant 6,845,345 - Singh , et al. January 18, 2
2005-01-18
System and method of forming a passive layer by a CMP process
Grant 6,836,398 - Subramanian , et al. December 28, 2
2004-12-28
System and method for active control of BPSG deposition
Grant 6,828,162 - Halliyal , et al. December 7, 2
2004-12-07
Lithography contrast enhancement technique by varying focus with wavelength modulation
Grant 6,829,040 - Kye , et al. December 7, 2
2004-12-07
Photosensitive polymeric memory elements
Grant 6,825,060 - Lyons , et al. November 30, 2
2004-11-30
Apparatus of monitoring and optimizing the development of a photoresist material
Grant 6,819,427 - Subramanian , et al. November 16, 2
2004-11-16
In situ monitoring of sheet resistivity of silicides during rapid thermal annealing using electrical methods
Grant 6,815,229 - Halliyal , et al. November 9, 2
2004-11-09
Topographically aligned layers and method for adjusting the relative alignment of layers and apparatus therefor
Grant 6,813,574 - Yedur , et al. November 2, 2
2004-11-02
Model based metal overetch control
Grant 6,808,591 - Phan , et al. October 26, 2
2004-10-26
System and method to monitor reticle heating
Grant 6,809,793 - Phan , et al. October 26, 2
2004-10-26
Two mask photoresist exposure pattern for dense and isolated regions
Grant 6,803,178 - Subramanian , et al. October 12, 2
2004-10-12
Silicon containing material for patterning polymeric memory element
Grant 6,803,267 - Subramanian , et al. October 12, 2
2004-10-12
Methods and dosage forms with modified layer geometry
App 20040197407 - Subramanian, Ramkumar ;   et al.
2004-10-07
Polymer memory device formed in via opening
Grant 6,787,458 - Tripsas , et al. September 7, 2
2004-09-07
Methods and dosage forms with modified viscosity layers
App 20040166160 - Subramanian, Ramkumar ;   et al.
2004-08-26
Methods of forming passive layers in organic memory cells
Grant 6,773,954 - Subramanian , et al. August 10, 2
2004-08-10
Scatterometry based measurements of a rotating substrate
Grant 6,771,374 - Rangarajan , et al. August 3, 2
2004-08-03
Scatterometry of grating structures to monitor wafer stress
Grant 6,771,356 - Lyons , et al. August 3, 2
2004-08-03
System and method for control of hardmask etch to prevent pattern collapse of ultra-thin resists
Grant 6,762,133 - Rangarajan , et al. July 13, 2
2004-07-13
System and method for developer endpoint detection by reflectometry or scatterometry
Grant 6,758,612 - Tabery , et al. July 6, 2
2004-07-06
Method of enhancing gate patterning properties with reflective hard mask
Grant 6,753,266 - Lukanc , et al. June 22, 2
2004-06-22
Method(s) facilitating formation of memory cell(s) and patterned conductive
Grant 6,753,247 - Okoroanyanwu , et al. June 22, 2
2004-06-22
Effect of substrate surface treatment on 193 NM resist processing
Grant 6,746,973 - Labelle , et al. June 8, 2
2004-06-08
Method and system to monitor and control electro-static discharge
Grant 6,741,445 - Phan , et al. May 25, 2
2004-05-25
Dual damascene process utilizing a bi-layer imaging layer
Grant 6,737,222 - Subramanian , et al. May 18, 2
2004-05-18
Gate oxide thickness measurement and control using scatterometry
Grant 6,727,995 - Halliyal , et al. April 27, 2
2004-04-27
Using scatterometry to obtain measurements of in circuit structures
App 20040078108 - Choo, Bryan K. ;   et al.
2004-04-22
Monitoring of concentration of nitrogen in nitrided gate oxides, and gate oxide interfaces
Grant 6,721,046 - Halliyal , et al. April 13, 2
2004-04-13
Comprehensive integrated lithographic process control system based on product design and yield feedback system
App 20040063009 - Phan, Khoi A. ;   et al.
2004-04-01
Use of scatterometry/reflectometry to measure thin film delamination during CMP
Grant 6,702,648 - Avanzino , et al. March 9, 2
2004-03-09
Scatterometry based measurements of a moving substrate
Grant 6,704,101 - Rangarajan , et al. March 9, 2
2004-03-09
Process for forming a photoresist mask
Grant 6,689,541 - Bell , et al. February 10, 2
2004-02-10
System using hot and cold fluids to heat and cool plate
Grant 6,685,467 - Subramanian February 3, 2
2004-02-03
Dual damascene trench depth monitoring
Grant 6,686,270 - Subramanian , et al. February 3, 2
2004-02-03
Sensor to predict void free films using various grating structures and characterize fill performance
Grant 6,684,172 - Subramanian , et al. January 27, 2
2004-01-27
Growing a dual damascene structure using a copper seed layer and a damascene resist structure
Grant 6,670,271 - Subramanian , et al. December 30, 2
2003-12-30
Vapor drying for cleaning photoresists
Grant 6,663,723 - Templeton , et al. December 16, 2
2003-12-16
Process for etching an organic dielectric using a silyated photoresist mask
Grant 6,660,645 - Bell , et al. December 9, 2
2003-12-09
Dual damascene with silicon carbide middle etch stop layer/ARC
Grant 6,656,830 - Subramanian , et al. December 2, 2
2003-12-02
Spin on polymers for organic memory devices
Grant 6,656,763 - Oglesby , et al. December 2, 2
2003-12-02
Method of forming a ground in SOI structures
Grant 6,653,221 - Subramanian , et al. November 25, 2
2003-11-25
Controlling thermal expansion of mask substrates by scatterometry
Grant 6,654,660 - Singh , et al. November 25, 2
2003-11-25
Scatterometry techniques to ascertain asymmetry profile of features and generate a feedback or feedforward process control data associated therewith
Grant 6,650,422 - Singh , et al. November 18, 2
2003-11-18
System and method for in situ control of post exposure bake time and temperature
Grant 6,641,963 - Rangarajan , et al. November 4, 2
2003-11-04
System for uniformly heating photoresist
Grant 6,643,604 - Subramanian , et al. November 4, 2
2003-11-04
Parallel plate development
Grant 6,634,805 - Templeton , et al. October 21, 2
2003-10-21
System and method for illuminating a semiconductor processing system
Grant 6,632,283 - Singh , et al. October 14, 2
2003-10-14
Method for forming an interconnect structure using a CVD organic BARC to mitigate via poisoning
Grant 6,632,707 - Wang , et al. October 14, 2
2003-10-14
X-ray reflectance system to determine suitability of SiON ARC layer
Grant 6,633,392 - Singh , et al. October 14, 2
2003-10-14
Integrated pressure sensor for measuring multiaxis pressure gradients
App 20030188829 - Rangarajan, Bharath ;   et al.
2003-10-09
Active control of developer time and temperature
Grant 6,629,786 - Rangarajan , et al. October 7, 2
2003-10-07
Use of scatterometry for in-situ control of gaseous phase chemical trim process
Grant 6,630,361 - Singh , et al. October 7, 2
2003-10-07
System and method for facilitating selection of optimized optical proximity correction
Grant 6,622,547 - Phan , et al. September 23, 2
2003-09-23
Metal bridging monitor for etch and CMP endpoint detection
Grant 6,624,642 - Lyons , et al. September 23, 2
2003-09-23
Use of scatterometry to measure pattern accuracy
Grant 6,617,087 - Rangarajan , et al. September 9, 2
2003-09-09
Reducing resist residue defects in open area on patterned wafer using trim mask
Grant 6,613,500 - Phan , et al. September 2, 2
2003-09-02
Chemical treatment to strengthen photoresists to prevent pattern collapse
Grant 6,605,413 - Lyons , et al. August 12, 2
2003-08-12
Dual bake for BARC fill without voids
Grant 6,605,546 - Subramanian , et al. August 12, 2
2003-08-12
CVD plasma process to fill contact hole in damascene process
Grant 6,605,855 - Singh , et al. August 12, 2
2003-08-12
Scatterometry based active control of exposure conditions
Grant 6,602,727 - Rangarajan , et al. August 5, 2
2003-08-05
Slot via filled dual damascene interconnect structure without middle etch stop layer
Grant 6,603,206 - Wang , et al. August 5, 2
2003-08-05
Use of organic spin on materials as a stop-layer for local interconnect, contact and via layers
Grant 6,596,623 - Subramanian , et al. July 22, 2
2003-07-22
Carbon nanotubes as linewidth standards for SEM & AFM
Grant 6,591,658 - Yedur , et al. July 15, 2
2003-07-15
Process integration of electrical thickness measurement of gate oxide and tunnel oxides by corona discharge technique
Grant 6,593,748 - Halliyal , et al. July 15, 2
2003-07-15
Monitor CMP process using scatterometry
Grant 6,594,024 - Singh , et al. July 15, 2
2003-07-15
Oxide/nitride or oxide/nitride/oxide thickness measurement using scatterometry
Grant 6,589,804 - Halliyal , et al. July 8, 2
2003-07-08
Using scatterometry to measure resist thickness and control implant
Grant 6,579,733 - Rangarajan , et al. June 17, 2
2003-06-17
Method of making a semiconductor device by annealing a metal layer to form metal silicide and using the metal silicide as a hard mask to pattern a polysilicon layer
Grant 6,566,214 - Lyons , et al. May 20, 2
2003-05-20
Wafer based temperature sensors for characterizing chemical mechanical polishing processes
Grant 6,562,185 - Avanzino , et al. May 13, 2
2003-05-13
Critical dimension monitoring from latent image
Grant 6,561,706 - Singh , et al. May 13, 2
2003-05-13
Active control of phase shift mask etching process
Grant 6,562,248 - Subramanian , et al. May 13, 2
2003-05-13
Measuring BARC thickness using scatterometry
Grant 6,558,965 - Singh , et al. May 6, 2
2003-05-06
Nozzle arm movement for resist development
App 20030068430 - Subramanian, Ramkumar ;   et al.
2003-04-10
Using scatterometry for etch end points for dual damascene process
Grant 6,545,753 - Subramanian , et al. April 8, 2
2003-04-08
Wafer Based Temperature Sensors For Characterizing Chemical Mechanical Polishing Processes
App 20030055526 - Avanzino, Steven C. ;   et al.
2003-03-20
In-situ or ex-situ profile monitoring of phase openings on alternating phase shifting masks by scatterometry
App 20030052084 - Tabery, Cyrus E. ;   et al.
2003-03-20
Chemical feature doubling process
Grant 6,534,243 - Templeton , et al. March 18, 2
2003-03-18
Use of silicon containing imaging layer to define sub-resolution gate structures
Grant 6,534,418 - Plat , et al. March 18, 2
2003-03-18
Low k ILD process by removable ILD
Grant 6,524,944 - Rangarajan , et al. February 25, 2
2003-02-25
Slotted trench dual inlaid structure and method of forming thereof
Grant 6,524,947 - Subramanian , et al. February 25, 2
2003-02-25
System and method for facilitating selection of optimized optical proximity correction
Grant 6,510,730 - Phan , et al. January 28, 2
2003-01-28
Critical dimension monitoring from latent image
App 20030002878 - Singh, Bhanwar ;   et al.
2003-01-02
Using scatterometry to develop real time etch image
App 20030000922 - Subramanian, Ramkumar ;   et al.
2003-01-02
Growing copper vias or lines within a patterned resist using a copper seed layer
App 20030003701 - Subramanian, Ramkumar ;   et al.
2003-01-02
Using scatterometry for etch end points for dual damascene process
App 20030000644 - Subramanian, Ramkumar ;   et al.
2003-01-02
Automated periodic focus and exposure calibration of a lithography stepper
Grant 6,501,534 - Singh , et al. December 31, 2
2002-12-31
Super critical drying of low k materials
Grant 6,486,078 - Rangarajan , et al. November 26, 2
2002-11-26
Method for forming self-aligned contacts and local interconnects using decoupled local interconnect process
Grant 6,482,699 - Hu , et al. November 19, 2
2002-11-19
Dual damascene using removable via studs
App 20020164544 - Luckanc, Todd P. ;   et al.
2002-11-07
Interconnect structure with silicon containing alicyclic polymers and low-k dielectric materials and method of making same with single and dual damascene techniques
Grant 6,475,904 - Okoroanyanwu , et al. November 5, 2
2002-11-05
Modification of mask layout data to improve mask fidelity
App 20020160281 - Subramanian, Ramkumar ;   et al.
2002-10-31
Inverse resist coating process
App 20020155389 - Rangarajan, Bharath ;   et al.
2002-10-24
Silicon carbide barc in dual damascene processing
Grant 6,465,889 - Subramanian , et al. October 15, 2
2002-10-15
Using UV/VIS spectrophotometry to regulate developer solution during a development process
Grant 6,458,607 - Rangarajan , et al. October 1, 2
2002-10-01
Scatterometry techniques to ascertain asymmetry profile of features and generate feedback or feedforward process control data associated therewith
App 20020135781 - Singh, Bhanwar ;   et al.
2002-09-26
Damascene processing using a silicon carbide hard mask
Grant 6,455,409 - Subramanian , et al. September 24, 2
2002-09-24
Developer soluble dyed BARC for dual damascene process
Grant 6,455,416 - Subramanian , et al. September 24, 2
2002-09-24
Using scatterometry to measure resist thickness and control implant
Grant 6,451,621 - Rangarajan , et al. September 17, 2
2002-09-17
UV-enhanced silylation process to increase etch resistance of ultra thin resists
Grant 6,451,512 - Rangarajan , et al. September 17, 2
2002-09-17
Damascene Processing Employing Low Si-sion Etch Stop Layer/arc
App 20020123217 - Subramanian, Ramkumar ;   et al.
2002-09-05
Modification of mask layout data to improve mask fidelity
Grant 6,444,373 - Subramanian , et al. September 3, 2
2002-09-03
Electron beam flood exposure technique to reduce the carbon contamination
Grant 6,444,381 - Singh , et al. September 3, 2
2002-09-03
Slot via filled dual damascene structure without middle stop layer and method for making the same
App 20020106889 - Wang, Fei ;   et al.
2002-08-08
Method Of Fabricating A Slot Dual Damascene Structure Without Middle Stop Layer
App 20020106885 - Wang, Fei ;   et al.
2002-08-08
Method of fabricating dual damascene with silicon carbide via mask/ARC
Grant 6,429,121 - Hopper , et al. August 6, 2
2002-08-06
T-gate formation using a modified conventional poly process
Grant 6,417,084 - Singh , et al. July 9, 2
2002-07-09
Dual damascene process utilizing a bi-layer imaging layer
App 20020061470 - Subramanian, Ramkumar ;   et al.
2002-05-23
Method of making a slot via filled dual damascene structure with middle stop layer
Grant 6,391,766 - Wang , et al. May 21, 2
2002-05-21
RELACS process to double the frequency or pitch of small feature formation
Grant 6,383,952 - Subramanian , et al. May 7, 2
2002-05-07
Method of making a dual damascene structure without middle stop layer
Grant 6,383,919 - Wang , et al. May 7, 2
2002-05-07
Method for making a slot via filled dual damascene low k interconnect structure without middle stop layer
Grant 6,372,635 - Wang , et al. April 16, 2
2002-04-16
Use of carbon nanotubes to calibrate conventional tips used in AFM
Grant 6,354,133 - Yedur , et al. March 12, 2
2002-03-12
Method of forming self-aligned contacts using consumable spacers
Grant 6,348,379 - Wang , et al. February 19, 2
2002-02-19
Use of silicon oxynitride ARC for metal layers
Grant 6,326,231 - Subramanian , et al. December 4, 2
2001-12-04
Use Of Silicon Oxynitride Arc For Metal Layers
App 20010046791 - SUBRAMANIAN, RAMKUMAR ;   et al.
2001-11-29
T-gate formation using modified damascene processing with two masks
Grant 6,319,802 - Subramanian , et al. November 20, 2
2001-11-20
Tin Contact Barc For Tungsten Polished Contacts
App 20010041444 - SHIELDS, JEFFREY A. ;   et al.
2001-11-15
Y-gate formation using damascene processing
Grant 6,313,019 - Subramanian , et al. November 6, 2
2001-11-06
Method for using a CVD organic barc as a hard mask during via etch
Grant 6,309,955 - Subramanian , et al. October 30, 2
2001-10-30
Use of dual patterning masks for printing holes of small dimensions
Grant 6,306,769 - Subramanian , et al. October 23, 2
2001-10-23
Dual damascene method for backened metallization using poly stop layers
App 20010027003 - Rangarajan, Bharath ;   et al.
2001-10-04
Use of an existing product map as a background for making test masks
Grant 6,279,147 - Buynoski , et al. August 21, 2
2001-08-21
Chemical resist thickness reduction process
Grant 6,274,289 - Subramanian , et al. August 14, 2
2001-08-14
Interconnect Structure With Silicon Containing Alicyclic Polymers And Low-k Dieletric Materials And Method Of Making Same With Single And Dual Damascene Techniques
App 20010012689 - OKOROANYANWU, UZODINMA ;   et al.
2001-08-09
Damascene T-gate using a relacs flow
Grant 6,270,929 - Lyons , et al. August 7, 2
2001-08-07
Ozone cleaning of wafers
App 20010010229 - Subramanian, Ramkumar ;   et al.
2001-08-02
Damascene T-gate using a spacer flow
Grant 6,255,202 - Lyons , et al. July 3, 2
2001-07-03
Apparatus and method for reducing defects in a semiconductor lithographic process
Grant 6,222,936 - Phan , et al. April 24, 2
2001-04-24
CVD plasma process to fill contact hole in damascene process
Grant 6,187,666 - Singh , et al. February 13, 2
2001-02-13
Antireflective siliconoxynitride hardmask layer used during etching processes in integrated circuit fabrication
Grant 6,060,380 - Subramanian , et al. May 9, 2
2000-05-09
Method for reducing defects in a semiconductor lithographic process
Grant 5,985,497 - Phan , et al. November 16, 1
1999-11-16

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed