loadpatents
name:-0.13131189346313
name:-0.14262914657593
name:-0.024326086044312
Stuecheli; Jeffrey A. Patent Filings

Stuecheli; Jeffrey A.

Patent Applications and Registrations

Patent applications and USPTO patent grants for Stuecheli; Jeffrey A..The latest application filed is for "multifunction communication interface supporting memory sharing among data processing systems".

Company Profile
27.178.167
  • Stuecheli; Jeffrey A. - Austin TX
  • Stuecheli; Jeffrey A. - Round Rock TX
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Split transaction coherency protocol in a data processing system
Grant 11,449,489 - Drerup , et al. September 20, 2
2022-09-20
Multifunction communication interface supporting memory sharing among data processing systems
Grant 11,341,060 - Siegel , et al. May 24, 2
2022-05-24
Speculative bank activate dynamic random access memory (DRAM) scheduler
Grant 11,269,561 - Zheng , et al. March 8, 2
2022-03-08
Dynamic translation lookaside buffer (TLB) invalidation using virtually tagged cache for load/store operations
Grant 11,263,151 - Campbell , et al. March 1, 2
2022-03-01
Multifunction Communication Interface Supporting Memory Sharing Among Data Processing Systems
App 20220050787 - Siegel; Michael S. ;   et al.
2022-02-17
Dynamic Translation Lookaside Buffer (tlb) Invalidation Using Virtually Tagged Cache For Load/store Operations
App 20220035748 - Campbell; David ;   et al.
2022-02-03
Translation invalidation in a translation cache serving an accelerator
Grant 11,113,204 - Blaner , et al. September 7, 2
2021-09-07
Speculative bank activate dynamic random access memory (DRAM) scheduler
Grant 11,042,325 - Zheng , et al. June 22, 2
2021-06-22
Integrated circuit and data processing system supporting address aliasing in an accelerator
Grant 11,030,110 - Siegel , et al. June 8, 2
2021-06-08
Speculative Bank Activate Dynamic Random Access Memory (dram) Scheduler
App 20210109680 - ZHENG; Jie ;   et al.
2021-04-15
Speculative Bank Activate Dynamic Random Access Memory (dram) Scheduler
App 20210042058 - ZHENG; Jie ;   et al.
2021-02-11
Speculative checkin of ERAT cache entries
Grant 10,884,943 - Blaner , et al. January 5, 2
2021-01-05
Integrated circuit and data processing system supporting attachment of a real address-agnostic accelerator
Grant 10,846,235 - Blaner , et al. November 24, 2
2020-11-24
Secure memory implementation for secure execution of virtual machines
Grant 10,831,889 - Hall , et al. November 10, 2
2020-11-10
Comparison-based sort in a reconfigurable array processor having multiple processing elements for sorting array elements
Grant 10,824,585 - Balakrishnan , et al. November 3, 2
2020-11-03
Reconfigurable array processor for pattern matching
Grant 10,824,952 - Abali , et al. November 3, 2
2020-11-03
Reconfigurable array processor for pattern matching
Grant 10,824,953 - Abali , et al. November 3, 2
2020-11-03
Integrated circuit and data processing system having a configurable cache directory for an accelerator
Grant 10,761,995 - Blaner , et al. Sep
2020-09-01
Remote node broadcast of requests in a multinode data processing system
Grant 10,713,169 - Retter , et al.
2020-07-14
Expedited servicing of store operations in a data processing system
Grant 10,691,605 - Guthrie , et al.
2020-06-23
Reducing translation latency within a memory management unit using external caching structures
Grant 10,671,537 - Guthrie , et al.
2020-06-02
Link-level cyclic redundancy check replay for non-blocking coherence flow
Grant 10,664,398 - Marino , et al.
2020-05-26
Reducing translation latency within a memory management unit using external caching structures
Grant 10,649,902 - Guthrie , et al.
2020-05-12
Speculative Checkin Of Erat Cache Entries
App 20200073816 - Blaner; Bartholomew ;   et al.
2020-03-05
Remote node broadcast of requests in a multinode data processing system
Grant 10,579,527 - Guthrie , et al.
2020-03-03
Link-level Cyclic Redundancy Check Replay For Non-blocking Coherence Flow
App 20200042449 - Marino; Charles F. ;   et al.
2020-02-06
Secure Memory Implementation For Secure Execution Of Virtual Machines
App 20190392143 - Hall; William E. ;   et al.
2019-12-26
Secure memory implementation for secure execution of Virtual Machines
Grant 10,474,816 - Hall , et al. Nov
2019-11-12
Translation Invalidation In A Translation Cache Serving An Accelerator
App 20190332548 - BLANER; BARTHOLOMEW ;   et al.
2019-10-31
Integrated Circuit And Data Processing System Supporting Attachment Of A Real Address-agnostic Accelerator
App 20190332537 - BLANER; BARTHOLOMEW ;   et al.
2019-10-31
Integrated Circuit And Data Processing System Having A Configurable Cache Directory For An Accelerator
App 20190332549 - BLANER; BARTHOLOMEW ;   et al.
2019-10-31
Integrated Circuit And Data Processing System Supporting Address Aliasing In An Accelerator
App 20190332551 - SIEGEL; MICHAEL S. ;   et al.
2019-10-31
Master requesting missing segments of a cache line for which the master has coherence ownership
Grant 10,437,725 - Guthrie , et al. O
2019-10-08
Managing lowest point of coherency (LPC) memory using a service layer adapter
Grant 10,394,711 - Adar , et al. A
2019-08-27
Remote node broadcast of requests in a multinode data processing system
Grant 10,387,310 - Retter , et al. A
2019-08-20
Remote Node Broadcast Of Requests In A Multinode Data Processing System
App 20190220410 - RETTER; ERIC E. ;   et al.
2019-07-18
Remote Node Broadcast Of Requests In A Multinode Data Processing System
App 20190220409 - GUTHRIE; GUY L. ;   et al.
2019-07-18
Remote Node Broadcast Of Requests In A Multinode Data Processing System
App 20190220408 - RETTER; ERIC E. ;   et al.
2019-07-18
Secure memory implementation for secure execution of virtual machines
Grant 10,296,741 - Hall , et al.
2019-05-21
Techniques For Implementing A Split Transaction Coherency Protocol In A Data Processing System
App 20190138630 - DRERUP; BERNARD C. ;   et al.
2019-05-09
Frame format for a serial interface
Grant 10,268,617 - Lambrecht , et al.
2019-04-23
Reducing Translation Latency Within A Memory Management Unit Using External Caching Structures
App 20190065379 - GUTHRIE; GUY L. ;   et al.
2019-02-28
Reducing Translation Latency Within A Memory Management Unit Using External Caching Structures
App 20190065380 - GUTHRIE; GUY L. ;   et al.
2019-02-28
Pre-transmission data reordering for a serial interface
Grant 10,216,653 - Arimilli , et al. Feb
2019-02-26
Techniques For Requesting Data Associated With A Cache Line In Symmetric Multiprocessor Systems
App 20190042428 - GUTHRIE; GUY L. ;   et al.
2019-02-07
Secure Memory Implementation For Secure Execution Of Virtual Machines
App 20190034627 - Hall; William E. ;   et al.
2019-01-31
Secure Memory Implementation For Secure Execution Of Virtual Machines
App 20190034628 - Hall; William E. ;   et al.
2019-01-31
Comparison-based Sort In A Reconfigurable Array Processor Having Multiple Processing Elements For Sorting Array Elements
App 20190012169 - Balakrishnan; Ganesh ;   et al.
2019-01-10
Dynamically adjusting read data return sizes based on interconnect bus utilization
Grant 10,176,125 - Dodson , et al. J
2019-01-08
Secure off-chip MRAM
Grant 10,170,178 - DeBrosse , et al. J
2019-01-01
Decreasing the data handoff interval for a reserved cache line based on an early indication of a systemwide coherence response
Grant 10,157,134 - Guthrie , et al. Dec
2018-12-18
Secure Off-chip Mram
App 20180330779 - DeBrosse; John K. ;   et al.
2018-11-15
Memory move instruction sequence targeting a memory-mapped device
Grant 10,126,952 - Arimilli , et al. November 13, 2
2018-11-13
Decreasing the data handoff interval in a multiprocessor data processing system based on an early indication of a systemwide coherence response
Grant 10,102,130 - Guthrie , et al. October 16, 2
2018-10-16
Comparison-based sort in a reconfigurable array processor having multiple processing elements for sorting array elements
Grant 10,078,513 - Balakrishnan , et al. September 18, 2
2018-09-18
Memory move instruction sequence enabling software control
Grant 9,996,298 - Arimilli , et al. June 12, 2
2018-06-12
Managing Lowest Point Of Coherency (lpc) Memory Using A Service Layer Adapter
App 20180150396 - ADAR; ETAI ;   et al.
2018-05-31
Dynamically Adjusting Read Data Return Sizes Based On Interconnect Bus Utilization
App 20180121375 - DODSON; JOHN S. ;   et al.
2018-05-03
Counter-based Victim Selection In A Cache Memory
App 20180101478 - DRERUP; BERNARD C. ;   et al.
2018-04-12
Counter-based Victim Selection In A Cache Memory
App 20180101476 - DRERUP; BERNARD C. ;   et al.
2018-04-12
Counter-based victim selection in a cache memory
Grant 9,940,239 - Drerup , et al. April 10, 2
2018-04-10
Counter-based victim selection in a cache memory
Grant 9,940,246 - Drerup , et al. April 10, 2
2018-04-10
Pre-transmission Data Reordering For A Serial Interface
App 20180095905 - ARIMILLI; LAKSHMINARAYANA BABA ;   et al.
2018-04-05
Frame Format For A Serial Interface
App 20180095921 - LAMBRECHT; LONNY ;   et al.
2018-04-05
Comparison-based sort in a reconfigurable array processor having multiple processing elements for sorting array elements
Grant 9,934,030 - Balakrishnan , et al. April 3, 2
2018-04-03
Expedited servicing of store operations in a data processing system
Grant 9,910,782 - Guthrie , et al. March 6, 2
2018-03-06
Comparison-based Sort In A Reconfigurable Array Processor Having Multiple Processing Elements For Sorting Array Elements
App 20180060070 - Balakrishnan; Ganesh ;   et al.
2018-03-01
Memory Move Instruction Sequence Enabling Software Control
App 20180052608 - ARIMILLI; LAKSHMINARAYANA B. ;   et al.
2018-02-22
Memory Move Instruction Sequence Targeting A Memory-mapped Device
App 20180052599 - ARIMILLI; LAKSHMINARAYANA B. ;   et al.
2018-02-22
Dynamically adjusting read data return sizes based on interconnect bus utilization
Grant 9,892,066 - Dodson , et al. February 13, 2
2018-02-13
Comparison-based sort in a reconfigurable array processor having multiple processing elements for sorting array elements
Grant 9,891,912 - Balakrishnan , et al. February 13, 2
2018-02-13
Expedited servicing of store operations in a data processing system
Grant 9,824,014 - Guthrie , et al. November 21, 2
2017-11-21
Expedited servicing of store operations in a data processing system
Grant 9,811,466 - Guthrie , et al. November 7, 2
2017-11-07
Decreasing The Data Handoff Interval For A Reserved Cache Line Based On An Early Indication Of A Systemwide Coherence Response
App 20170293558 - GUTHRIE; GUY L. ;   et al.
2017-10-12
Decreasing The Data Handoff Interval In A Multiprocessor Data Processing System Based On An Early Indication Of A Systemwide Coherence Response
App 20170293557 - GUTHRIE; GUY L. ;   et al.
2017-10-12
Non-serialized push instruction for pushing a message payload from a sending thread to a receiving thread
Grant 9,778,933 - Arimilli , et al. October 3, 2
2017-10-03
Non-serialized push instruction for pushing a message payload from a sending thread to a receiving thread
Grant 9,766,890 - Arimilli , et al. September 19, 2
2017-09-19
Hybrid replacement policy in a multilevel cache memory hierarchy
Grant 9,753,862 - Drerup , et al. September 5, 2
2017-09-05
Tracking memory accesses when invalidating effective address to real address translations
Grant 9,740,629 - Blaner , et al. August 22, 2
2017-08-22
Counter-based victim selection in a cache memory
Grant 9,727,488 - Drerup , et al. August 8, 2
2017-08-08
Tracking memory accesses when invalidating effective address to real address translations
Grant 9,727,483 - Blaner , et al. August 8, 2
2017-08-08
Counter-based victim selection in a cache memory
Grant 9,727,489 - Drerup , et al. August 8, 2
2017-08-08
Dynamically adjusting read data return sizes based on memory interface bus utilization
Grant 9,684,461 - Dodson , et al. June 20, 2
2017-06-20
Performing arithmetic operations using both large and small floating point values
Grant 9,665,346 - Carter , et al. May 30, 2
2017-05-30
Expedited servicing of store operations in a data processing system
Grant 9,652,399 - Guthrie , et al. May 16, 2
2017-05-16
Expedited servicing of store operations in a data processing system
Grant 9,645,937 - Guthrie , et al. May 9, 2
2017-05-09
Expedited servicing of store operations in a data processing system
Grant 9,632,942 - Guthrie , et al. April 25, 2
2017-04-25
Expedited servicing of store operations in a data processing system
Grant 9,632,943 - Guthrie , et al. April 25, 2
2017-04-25
Memory queue handling techniques for reducing impact of high-latency memory operations
Grant 9,632,954 - Brittain , et al. April 25, 2
2017-04-25
Selection of post-request action based on combined response and input from the request source
Grant 9,606,922 - Blaner , et al. March 28, 2
2017-03-28
Expedited Servicing Of Store Operations In A Data Processing System
App 20170060746 - GUTHRIE; GUY L. ;   et al.
2017-03-02
Expedited Servicing Of Store Operations In A Data Processing System
App 20170060762 - GUTHRIE; GUY L. ;   et al.
2017-03-02
Expedited Servicing Of Store Operations In A Data Processing System
App 20170060760 - GUTHRIE; GUY L. ;   et al.
2017-03-02
Expedited Servicing Of Store Operations In A Data Processing System
App 20170060761 - GUTHRIE; GUY L. ;   et al.
2017-03-02
Expedited Servicing Of Store Operations In A Data Processing System
App 20170060759 - GUTHRIE; GUY L. ;   et al.
2017-03-02
Expedited Servicing Of Store Operations In A Data Processing System
App 20170060757 - GUTHRIE; GUY L. ;   et al.
2017-03-02
Expedited Servicing Of Store Operations In A Data Processing System
App 20170060758 - GUTHRIE; GUY L. ;   et al.
2017-03-02
Expedited Servicing Of Store Operations In A Data Processing System
App 20170060756 - GUTHRIE; GUY L. ;   et al.
2017-03-02
Intercomponent data communication between different processors
Grant 9,582,442 - Dixon , et al. February 28, 2
2017-02-28
Push instruction for pushing a message payload from a sending thread to a receiving thread
Grant 9,575,825 - Arimilli , et al. February 21, 2
2017-02-21
Push instruction for pushing a message payload from a sending thread to a receiving thread
Grant 9,569,293 - Arimilli , et al. February 14, 2
2017-02-14
Intercomponent data communication
Grant 9,569,394 - Dixon , et al. February 14, 2
2017-02-14
Intercomponent data communication between multiple time zones
Grant 9,563,594 - Dixon , et al. February 7, 2
2017-02-07
Selection of post-request action based on combined response and input from the request source
Grant 9,547,597 - Blaner , et al. January 17, 2
2017-01-17
Preserving an invalid global domain indication when installing a shared cache line in a cache
Grant 9,529,717 - Guthrie , et al. December 27, 2
2016-12-27
Determining command rate based on dropped commands
Grant 9,495,314 - Ganfield , et al. November 15, 2
2016-11-15
Determining command rate based on dropped commands
Grant 9,495,312 - Ganfield , et al. November 15, 2
2016-11-15
Techniques for preserving an invalid global domain indication when installing a shared cache line in a cache
Grant 9,483,403 - Guthrie , et al. November 1, 2
2016-11-01
Peripheral component interconnect express (PCIE) pseudo-virtual channels and non-blocking writes
Grant 9,483,424 - Johns , et al. November 1, 2
2016-11-01
Transient condition management utilizing a posted error detection processing protocol
Grant 9,471,410 - Dodson , et al. October 18, 2
2016-10-18
Data prefetch ramp implemenation based on memory utilization
Grant 9,465,744 - Dale , et al. October 11, 2
2016-10-11
Coherent attached processor proxy supporting coherence state update in presence of dispatched master
Grant 9,390,013 - Blaner , et al. July 12, 2
2016-07-12
Modification of prefetch depth based on high latency event
Grant 9,384,136 - Dodson , et al. July 5, 2
2016-07-05
Intercomponent data communication
Grant 9,384,157 - Dixon , et al. July 5, 2
2016-07-05
Dynamic reservations in a unified request queue
Grant 9,384,146 - Goodman , et al. July 5, 2
2016-07-05
Modification of prefetch depth based on high latency event
Grant 9,378,144 - Dodson , et al. June 28, 2
2016-06-28
Tracking Memory Accesses When Invalidating Effective Address To Real Address Translations
App 20160179698 - Blaner; Bartholomew ;   et al.
2016-06-23
Tracking Memory Accesses When Invalidating Effective Address To Real Address Translations
App 20160179694 - Blaner; Bartholomew ;   et al.
2016-06-23
Push Instruction For Pushing A Message Payload From A Sending Thread To A Receiving Thread
App 20160179593 - ARIMILLI; LAKSHMINARAYANA B. ;   et al.
2016-06-23
Non-serialized Push Instruction For Pushing A Message Payload From A Sending Thread To A Receiving Thread
App 20160179517 - ARIMILLI; LAKSHMINARAYANA B. ;   et al.
2016-06-23
Non-serialized Push Instruction For Pushing A Message Payload From A Sending Thread To A Receiving Thread
App 20160179518 - ARIMILLI; LAKSHMINARAYANA B. ;   et al.
2016-06-23
Push Instruction For Pushing A Message Payload From A Sending Thread To A Receiving Thread
App 20160179591 - ARIMILLI; LAKSHMINARAYANA B. ;   et al.
2016-06-23
Coherency overcommit
Grant 9,367,505 - Guthrie , et al. June 14, 2
2016-06-14
Coherency overcommit
Grant 9,367,504 - Guthrie , et al. June 14, 2
2016-06-14
Dynamic reservations in a unified request queue
Grant 9,361,240 - Goodman , et al. June 7, 2
2016-06-07
Dynamic write priority based on virtual write queue high water mark for set associative cache using cache cleaner when modified sets exceed threshold
Grant 9,355,035 - Goodman , et al. May 31, 2
2016-05-31
Comparison-based Sort In An Array Processor
App 20160124755 - Balakrishnan; Ganesh ;   et al.
2016-05-05
Comparison-based Sort In An Array Processor
App 20160124900 - Balakrishnan; Ganesh ;   et al.
2016-05-05
Reconfigurable Array Processor For Pattern Matching
App 20160085720 - Abali; Bulent ;   et al.
2016-03-24
Reconfigurable Array Processor For Pattern Matching
App 20160085721 - Abali; Bulent ;   et al.
2016-03-24
Provision of early data from a lower level cache memory
Grant 9,286,220 - Hollaway, Jr. , et al. March 15, 2
2016-03-15
Coherent attached processor proxy supporting coherence state update in presence of dispatched master
Grant 9,256,537 - Blaner , et al. February 9, 2
2016-02-09
Data Prefetch Ramp Implemenation Based On Memory Utilization
App 20160034400 - DALE; JASON NATHANIEL ;   et al.
2016-02-04
Early data tag to allow data CRC bypass via a speculative memory data return protocol
Grant 9,231,618 - Goodman , et al. January 5, 2
2016-01-05
Least-recently-used (LRU) to first-dirty-member distance-maintaining cache cleaning scheduler
Grant 9,218,292 - Goodman , et al. December 22, 2
2015-12-22
Preserving An Invalid Global Domain Indication When Installing A Shared Cache Line In A Cache
App 20150363316 - GUTHRIE; GUY L. ;   et al.
2015-12-17
Techniques For Preserving An Invalid Global Domain Indication When Installing A Shared Cache Line In A Cache
App 20150363317 - GUTHRIE; GUY L. ;   et al.
2015-12-17
Least-recently-used (LRU) to first-dirty-member distance-maintaining cache cleaning scheduler
Grant 9,213,647 - Goodman , et al. December 15, 2
2015-12-15
Coherent attached processor proxy having hybrid directory
Grant 9,208,091 - Blaner , et al. December 8, 2
2015-12-08
Coherent attached processor proxy having hybrid directory
Grant 9,208,092 - Blaner , et al. December 8, 2
2015-12-08
Intercomponent Data Communication
App 20150347333 - Dixon; Robert C. ;   et al.
2015-12-03
Intercomponent Data Communication
App 20150347334 - Dixon; Robert C. ;   et al.
2015-12-03
Intercomponent Data Communication
App 20150347343 - Dixon; Robert C. ;   et al.
2015-12-03
Intercomponent Data Communication
App 20150347340 - Dixon; Robert C. ;   et al.
2015-12-03
Selective cache-to-cache lateral castouts
Grant 9,176,876 - Guthrie , et al. November 3, 2
2015-11-03
Provision of early data from a lower level cache memory
Grant 9,176,877 - Hollaway, Jr. , et al. November 3, 2
2015-11-03
Early data tag to allow data CRC bypass via a speculative memory data return protocol
Grant 9,106,258 - Goodman , et al. August 11, 2
2015-08-11
Determining Command Rate Based On Dropped Commands
App 20150178231 - GANFIELD; PAUL A. ;   et al.
2015-06-25
Coherency Overcommit
App 20150178205 - GUTHRIE; GUY L. ;   et al.
2015-06-25
Coherency Overcommit
App 20150178233 - GUTHRIE; GUY L. ;   et al.
2015-06-25
Determining Command Rate Based On Dropped Commands
App 20150178230 - GANFIELD; PAUL A. ;   et al.
2015-06-25
Selective posted data error detection based on request type
Grant 9,058,178 - Cargnoni , et al. June 16, 2
2015-06-16
Transient condition management utilizing a posted error detection processing protocol
Grant 9,058,260 - Dodson , et al. June 16, 2
2015-06-16
Early Data Tag To Allow Data Crc Bypass Via A Speculative Memory Data Return Protocol
App 20150149866 - Goodman; Benjiman L. ;   et al.
2015-05-28
Early Data Tag To Allow Data Crc Bypass Via A Speculative Memory Data Return Protocol
App 20150149854 - Goodman; Benjiman L. ;   et al.
2015-05-28
Dynamic Write Priority Based On Virtual Write Queue High Water Mark
App 20150143056 - Goodman; Benjiman L. ;   et al.
2015-05-21
Dynamic Write Priority Based On Virtual Write Queue High Water Mark
App 20150143059 - Goodman; Benjiman L. ;   et al.
2015-05-21
Memory reorder queue biasing preceding high latency operations
Grant 8,996,824 - Brittain , et al. March 31, 2
2015-03-31
Selective posted data error detection based on request type
Grant 8,990,640 - Cargnoni , et al. March 24, 2
2015-03-24
Performing arithmetic operations using both large and small floating point values
Grant 8,984,041 - Carter , et al. March 17, 2
2015-03-17
Performing Arithmetic Operations Using Both Large and Small Floating Point Values
App 20150074162 - Carter; John B. ;   et al.
2015-03-12
System, method and computer program product for monitoring memory access
Grant 8,930,589 - Carter , et al. January 6, 2
2015-01-06
Weighted history allocation predictor algorithm in a hybrid cache
Grant 8,930,625 - Daly , et al. January 6, 2
2015-01-06
Coherent Attached Processor Proxy Having Hybrid Directory
App 20140379989 - Blaner; Bartholomew ;   et al.
2014-12-25
Coherent Attached Processor Proxy Having Hybrid Directory
App 20140379997 - Blaner; Bartholomew ;   et al.
2014-12-25
Least-recently-used (lru) To First-dirty-member Distance-maintaining Cache Cleaning Scheduler
App 20140372705 - Goodman; Benjiman L. ;   et al.
2014-12-18
Least-recently-used (lru) To First-dirty-member Distance-maintaining Cache Cleaning Scheduler
App 20140372704 - Goodman; Benjiman L. ;   et al.
2014-12-18
Performing arithmetic operations using both large and small floating point values
Grant 8,909,690 - Carter , et al. December 9, 2
2014-12-09
Memory reorder queue biasing preceding high latency operations
Grant 8,909,874 - Brittain , et al. December 9, 2
2014-12-09
Dynamic Reservations In A Unified Request Queue
App 20140310486 - GOODMAN; BENJIMAN L. ;   et al.
2014-10-16
Dynamic Reservations In A Unified Request Queue
App 20140310487 - GOODMAN; BENJIMAN L. ;   et al.
2014-10-16
Modification Of Prefetch Depth Based On High Latency Event
App 20140310478 - DODSON; JOHN S. ;   et al.
2014-10-16
Provision Of Early Data From A Lower Level Cache Memory
App 20140310471 - HOLLAWAY, JR.; JOHN T. ;   et al.
2014-10-16
Modification Of Prefetch Depth Based On High Latency Event
App 20140310477 - DODSON; JOHN S. ;   et al.
2014-10-16
Provision Of Early Data From A Lower Level Cache Memory
App 20140310472 - Hollaway, JR.; John T. ;   et al.
2014-10-16
Transient Condition Management Utilizing A Posted Error Detection Processing Protocol
App 20140304558 - DODSON; JOHN S. ;   et al.
2014-10-09
Transient Condition Management Utilizing A Posted Error Detection Processing Protocol
App 20140304573 - DODSON; JOHN S. ;   et al.
2014-10-09
Persistent prefetch data stream settings
Grant 8,856,453 - Dale , et al. October 7, 2
2014-10-07
Dynamic inclusive policy in a hybrid cache hierarchy using bandwidth
Grant 8,843,707 - Daly , et al. September 23, 2
2014-09-23
Coordinated writeback of dirty cachelines
Grant 8,838,901 - Daly , et al. September 16, 2
2014-09-16
Selection Of Post-request Action Based On Combined Response And Input From The Request Source
App 20140250276 - BLANER; BARTHOLOMEW ;   et al.
2014-09-04
Selection Of Post-request Action Based On Combined Response And Input From The Request Source
App 20140250275 - BLANER; BARTHOLOMEW ;   et al.
2014-09-04
Coherent Attached Processor Proxy Supporting Coherence State Update In Presence Of Dispatched Master
App 20140229684 - Blaner; Bartholomew ;   et al.
2014-08-14
Coherent Attached Processor Proxy Supporting Coherence State Update In Presence Of Dispatched Master
App 20140229685 - Blaner; Bartholomew ;   et al.
2014-08-14
Dynamic inclusive policy in a hybrid cache hierarchy using hit rate
Grant 8,788,757 - Daly , et al. July 22, 2
2014-07-22
Selective Posted Data Error Detection Based On Request Type
App 20140143613 - CARGNONI; ROBERT A. ;   et al.
2014-05-22
Selective Posted Data Error Detection Based On Request Type
App 20140143611 - CARGNONI; ROBERT A. ;   et al.
2014-05-22
Memory system with dynamic refreshing
Grant 8,705,307 - Henderson , et al. April 22, 2
2014-04-22
Weighted history allocation predictor algorithm in a hybrid cache
Grant 8,688,915 - Daly , et al. April 1, 2
2014-04-01
Memory bus write prioritization
Grant 8,683,128 - Daly , et al. March 25, 2
2014-03-25
Method and apparatus for supporting memory usage accounting
Grant 8,683,160 - Guthrie , et al. March 25, 2
2014-03-25
Memory Reorder Queue Biasing Preceding High Latency Operations
App 20140082272 - Brittain; Mark A. ;   et al.
2014-03-20
Memory Queue Handling Techniques For Reducing Impact Of High-latency Memory Operations
App 20140052936 - Brittain; Mark A. ;   et al.
2014-02-20
Method and apparatus for supporting memory usage throttling
Grant 8,650,367 - Floyd , et al. February 11, 2
2014-02-11
Method and apparatus for supporting memory usage throttling
Grant 8,645,640 - Floyd , et al. February 4, 2
2014-02-04
Memory bus write prioritization
Grant 8,645,627 - Daly , et al. February 4, 2
2014-02-04
Method and apparatus for performing refresh operations in high-density memories
Grant 8,635,401 - Dodson , et al. January 21, 2
2014-01-21
System, method and computer program product for monitoring memory access
Grant 8,635,381 - Arndt , et al. January 21, 2
2014-01-21
Coordinated writeback of dirty cachelines
Grant 8,615,634 - Daly , et al. December 24, 2
2013-12-24
Method for scheduling memory refresh operations including power states
Grant 8,543,759 - Brittain , et al. September 24, 2
2013-09-24
Apparatus for scheduling memory refresh operations including power states
Grant 8,539,146 - Brittain , et al. September 17, 2
2013-09-17
Persistent Prefetch Data Stream Settings
App 20130232320 - DALE; JASON N. ;   et al.
2013-09-05
Memory Recorder Queue Biasing Preceding High Latency Operations
App 20130212330 - Brittain; Mark A. ;   et al.
2013-08-15
Memory coherence directory supporting remotely sourced requests of nodal scope
Grant 8,510,512 - Ganfield , et al. August 13, 2
2013-08-13
Memory coherence directory supporting remotely sourced requests of nodal scope
Grant 8,504,779 - Ganfield , et al. August 6, 2
2013-08-06
Techniques for performing refresh operations in high-density memories
Grant 8,489,807 - Dodson , et al. July 16, 2
2013-07-16
Method for Scheduling Memory Refresh Operations Including Power States
App 20130173858 - BRITTAIN; MARK A. ;   et al.
2013-07-04
Weighted History Allocation Predictor Algorithm in a Hybrid Cache
App 20130151780 - Daly; David M. ;   et al.
2013-06-13
Performing Arithmetic Operations Using Both Large and Small Floating Point Values
App 20130151578 - Carter; John B. ;   et al.
2013-06-13
Dynamic Inclusive Policy in a Hybrid Cache Hierarchy Using Bandwidth
App 20130151778 - Daly; David M. ;   et al.
2013-06-13
Dynamic Inclusive Policy in a Hybrid Cache Hierarchy Using Hit Rate
App 20130151777 - Daly; David M. ;   et al.
2013-06-13
Weighted History Allocation Predictor Algorithm in a Hybrid Cache
App 20130151779 - Daly; David M. ;   et al.
2013-06-13
Performing Arithmetic Operations Using Both Large and Small Floating Point Values
App 20130151577 - Carter; John B. ;   et al.
2013-06-13
Method for Scheduling Memory Refresh Operations Including Power States
App 20130138878 - Brittain; Mark A. ;   et al.
2013-05-30
Memory System With Dynamic Refreshing
App 20130128682 - Henderson; Joab D. ;   et al.
2013-05-23
Memory Queue Handling Techniques For Reducing Impact Of High Latency Memory Operations
App 20130117513 - Brittain; Mark A. ;   et al.
2013-05-09
Victim cache replacement
Grant 8,347,037 - Guthrie , et al. January 1, 2
2013-01-01
Empirically based dynamic control of transmission of victim cache lateral castouts
Grant 8,347,036 - Cargnoni , et al. January 1, 2
2013-01-01
Method And Apparatus For Supporting Memory Usage Accounting
App 20120330802 - GUTHRIE; GUY L. ;   et al.
2012-12-27
Method And Apparatus For Supporting Memory Usage Throttling
App 20120331231 - FLOYD; Michael S. ;   et al.
2012-12-27
Method And Apparatus For Supporting Memory Usage Throttling
App 20120330803 - FLOYD; MICHAEL S. ;   et al.
2012-12-27
Empirically based dynamic control of acceptance of victim cache lateral castouts
Grant 8,327,073 - Guthrie , et al. December 4, 2
2012-12-04
Mode-based castout destination selection
Grant 8,312,220 - Guthrie , et al. November 13, 2
2012-11-13
Method And Apparatus For Performing Refresh Operations In High-density Memories
App 20120206984 - DODSON; JOHN S. ;   et al.
2012-08-16
Memory Bus Write Prioritization
App 20120203969 - DALY; DAVID M. ;   et al.
2012-08-09
Coordinated Writeback Of Dirty Cachelines
App 20120203968 - DALY; David M. ;   et al.
2012-08-09
Memory Coherence Directory Supporting Remotely Sourced Requests Of Nodal Scope
App 20120203976 - Ganfield; Paul A. ;   et al.
2012-08-09
Victim cache prefetching
Grant 8,209,489 - Guthrie , et al. June 26, 2
2012-06-26
Method and Apparatus for Performing Refresh Operations in High-Density Memories
App 20120144105 - Dodson; John S. ;   et al.
2012-06-07
Method and apparatus for performing data prefetch in a multiprocessor system
Grant 8,161,245 - Fields, Jr. , et al. April 17, 2
2012-04-17
Ticket-based operation tracking
Grant 8,139,592 - Clark , et al. March 20, 2
2012-03-20
Access speculation predictor implemented via idle command processing resources
Grant 8,131,974 - Nicholas , et al. March 6, 2
2012-03-06
System, Method And Computer Program Product For Monitoring Memory Access
App 20120054374 - Carter; John B. ;   et al.
2012-03-01
System, Method And Computer Program Product For Monitoring Memory Access
App 20120054375 - Arndt; Richard L. ;   et al.
2012-03-01
Access speculation predictor with predictions based on a domain indicator of a cache line
Grant 8,127,106 - Nicholas , et al. February 28, 2
2012-02-28
Access speculation predictor with predictions based on memory region prior requestor tag information
Grant 8,122,223 - Cantin , et al. February 21, 2
2012-02-21
Access speculation predictor with predictions based on a scope predictor
Grant 8,122,222 - Nicholas , et al. February 21, 2
2012-02-21
Victim cache line selection
Grant 8,117,397 - Guthrie , et al. February 14, 2
2012-02-14
Synchronized communication in a data processing system
Grant 8,103,791 - Goodman , et al. January 24, 2
2012-01-24
Coordinated Writeback Of Dirty Cachelines
App 20110276762 - DALY; DAVID M. ;   et al.
2011-11-10
Memory Bus Write Prioritization
App 20110276763 - DALY; DAVID M. ;   et al.
2011-11-10
Selective Cache-to-cache Lateral Castouts
App 20110161589 - Guthrie; Guy L. ;   et al.
2011-06-30
Memory Coherence Directory Supporting Remotely Sourced Requests Of Nodal Scope
App 20110047352 - Ganfield; Paul A. ;   et al.
2011-02-24
Pipelining D states for MRU steerage during MRU-LRU member allocation
Grant 7,831,774 - Bell, Jr. , et al. November 9, 2
2010-11-09
Load Request Scheduling In A Cache Hierarchy
App 20100268882 - Cargnoni; Robert Alan ;   et al.
2010-10-21
Reducing number of rejected snoop requests by extending time to respond to snoop request
Grant 7,818,511 - Goodman , et al. October 19, 2
2010-10-19
Empirically Based Dynamic Control of Acceptance of Victim Cache Lateral Castouts
App 20100262784 - Guthrie; Guy L. ;   et al.
2010-10-14
Empirically Based Dynamic Control of Transmission of Victim Cache Lateral Castouts
App 20100262778 - Cargnoni; Robert A. ;   et al.
2010-10-14
Mode-Based Castout Destination Selection
App 20100262783 - Guthrie; Guy L. ;   et al.
2010-10-14
Data processing system and processing unit having an address-based launch governor
Grant 7,809,004 - Goodman , et al. October 5, 2
2010-10-05
Method and cache system with soft I-MRU member protection scheme during make MRU allocation
Grant 7,805,574 - Bell, Jr. , et al. September 28, 2
2010-09-28
Data processing system, method and interconnect fabric supporting destination data tagging
Grant 7,761,631 - Clark , et al. July 20, 2
2010-07-20
Updating an invalid coherency state in response to snooping an operation
Grant 7,743,218 - Guthrie , et al. June 22, 2
2010-06-22
Victim Cache Line Selection
App 20100153650 - Guthrie; Guy L. ;   et al.
2010-06-17
Protecting ownership transfer with non-uniform protection windows
Grant 7,734,876 - Fields, Jr. , et al. June 8, 2
2010-06-08
Data processing system and method that permit pipelining of I/O write operations and multiple operation scopes
Grant 7,725,619 - Daly, Jr. , et al. May 25, 2
2010-05-25
Victim Cache Prefetching
App 20100100683 - Guthrie; Guy L. ;   et al.
2010-04-22
Victim Cache Replacement
App 20100100682 - Guthrie; Guy L. ;   et al.
2010-04-22
System and method for improving the page crossing performance of a data prefetcher
Grant 7,689,774 - O'Connell , et al. March 30, 2
2010-03-30
Access Speculation Predictor with Predictions Based on a Scope Predictor
App 20090327615 - Nicholas; Richard ;   et al.
2009-12-31
Access Speculation Predictor with Predictions Based on a Domain Indicator of a Cache Line
App 20090327612 - Nicholas; Richard ;   et al.
2009-12-31
Access Speculation Predictor with Predictions Based on Memory Region Prior Requestor Tag Information
App 20090327619 - Cantin; Jason F. ;   et al.
2009-12-31
Access speculation predictor implemented via idle command processing resources
App 20090265293 - Nicholas; Richard ;   et al.
2009-10-22
Data processing system and method for selectively updating an invalid coherency state in response to snooping a castout
Grant 7,584,331 - Guthrie , et al. September 1, 2
2009-09-01
Data processing system, cache system and method for issuing a request on an interconnect fabric without reference to a lower level cache based upon a tagged cache state
Grant 7,536,513 - Guthrie , et al. May 19, 2
2009-05-19
Data processing system, cache system and method for precisely forming an invalid coherency state indicating a broadcast scope
Grant 7,512,742 - Clark , et al. March 31, 2
2009-03-31
Reducing number of rejected snoop requests by extending time to respond to snoop request
Grant 7,484,046 - Goodman , et al. January 27, 2
2009-01-27
Data processing system and method for predictively selecting a scope of a prefetch operation
Grant 7,484,042 - Goodman , et al. January 27, 2
2009-01-27
Data processing system, method and interconnect fabric for selective link information allocation in a data processing system
Grant 7,483,422 - Fields, Jr. , et al. January 27, 2
2009-01-27
Data Processing System And Method For Predictively Selecting A Scope Of Broadcast Of An Operation Utilizing A History-based Prediction
App 20090006766 - GOODMAN; BENJIMAN L. ;   et al.
2009-01-01
Data Processing System, Method And Interconnect Fabric For Synchronized Communication In A Data Processing System
App 20080307137 - Goodman; Benjiman L. ;   et al.
2008-12-11
Data Processing System, Cache System And Method For Updating An Invalid Coherency State In Response To Snooping An Operation
App 20080301377 - GUTHRIE; GUY L. ;   et al.
2008-12-04
Data processing system and method for predictively selecting a scope of broadcast of an operation utilizing a location of a memory
Grant 7,454,578 - Fields, Jr. , et al. November 18, 2
2008-11-18
Data processing system, cache system and method for updating an invalid coherency state in response to snooping an operation
Grant 7,451,277 - Guthrie , et al. November 11, 2
2008-11-11
Data processing system, method and interconnect fabric for synchronized communication in a data processing system
Grant 7,451,231 - Goodman , et al. November 11, 2
2008-11-11
Data processing system and method for predictively selecting a scope of broadcast of an operation utilizing a history-based prediction
Grant 7,444,494 - Goodman , et al. October 28, 2
2008-10-28
System and Method for Improving the Page Crossing Performance of a Data Prefetcher
App 20080250208 - O'Connell; Francis Patrick ;   et al.
2008-10-09
Data Processing System And Method Of Data Processing Supporting Ticket-based Operation Tracking
App 20080222648 - CLARK; LEO J. ;   et al.
2008-09-11
Data Processing System, Method And Interconnect Fabric Supporting Destination Data Tagging
App 20080209135 - Clark; Leo J. ;   et al.
2008-08-28
Data processing system, method and interconnect fabric having an address-based launch governor
Grant 7,415,030 - Goodman , et al. August 19, 2
2008-08-19
Data Processing System, Method And Interconnect Fabric Having An Address-based Launch Governor
App 20080192761 - GOODMAN; BENJIMAN L. ;   et al.
2008-08-14
Data processing system, method and interconnect fabric supporting destination data tagging
Grant 7,409,481 - Clark , et al. August 5, 2
2008-08-05
Data Processing System, Method And Interconnect Fabric For Selective Link Information Allocation In A Data Processing System
App 20080175272 - FIELDS; JAMES S. ;   et al.
2008-07-24
Data Processing System, Method and Interconnect Fabric that Protect Ownership Transfer with Non-Uniform Protection Windows
App 20080120473 - Fields; James S. ;   et al.
2008-05-22
Method And Cache System With Soft I-mru Member Protection Scheme During Make Mru Allocation
App 20080082754 - Bell; Robert H. ;   et al.
2008-04-03
Reducing Number of Rejected Snoop Requests By Extending Time To Respond To Snoop Request
App 20080077744 - Goodman; Benjiman L. ;   et al.
2008-03-27
Reducing number of rejected snoop requests by extending time to respond to snoop request
Grant 7,340,568 - Goodman , et al. March 4, 2
2008-03-04
Data Processing System and Method for Predictively Selecting a Scope of a Prefetch Operation
App 20080046658 - Goodman; Benjiman L. ;   et al.
2008-02-21
Data Processing System And Method For Predictively Selecting A Scope Of Broadcast Of An Operation Utilizing A History-based Prediction
App 20080016284 - GOODMAN; BENJIMAN L. ;   et al.
2008-01-17
Reducing Number of Rejected Snoop Requests By Extending Time to Respond to Snoop Request
App 20070294486 - Goodman; Benjiman L. ;   et al.
2007-12-20
Data Processing System And Method Of Data Processing Supporting Ticket-based Operation Tracking
App 20070266126 - Clark; Leo J. ;   et al.
2007-11-15
Apparatus for Performing Stream Prefetch within a Multiprocessor System
App 20070239939 - Goodman; Benjiman L. ;   et al.
2007-10-11
Data processing system, cache system and method for updating an invalid coherency state in response to snooping an operation
App 20070226427 - Guthrie; Guy L. ;   et al.
2007-09-27
Processors interconnect fabric with relay broadcasting and accumulation of partial responses
Grant 7,254,694 - Clark , et al. August 7, 2
2007-08-07
Data processing system, cache system and method for precisely forming an invalid coherency state indicating a broadcast scope
App 20070168618 - Clark; Leo J. ;   et al.
2007-07-19
Data processing system and method for selecting a scope of broadcast of an operation by reference to a translation table
App 20070168639 - McCalpin; John D. ;   et al.
2007-07-19
Data processing system and method that permit pipelining of I/O write operations and multiple operation scopes
App 20070073919 - Daly; George W. JR. ;   et al.
2007-03-29
Data processing system and method for selectively updating an invalid coherency state in response to snooping a castout
App 20060277370 - Guthrie; Guy L. ;   et al.
2006-12-07
Data processing system and method for predictively selecting a scope of broadcast of an operation utilizing a history-based prediction
App 20060271744 - Goodman; Benjiman L. ;   et al.
2006-11-30
Data processing system, cache system and method for issuing a request on an interconnect fabric without reference to a lower level cache based upon a tagged cache state
App 20060224833 - Guthrie; Guy L. ;   et al.
2006-10-05
Reducing number of rejected snoop requests by extending time to respond to snoop request
App 20060184748 - Goodman; Benjiman L. ;   et al.
2006-08-17
Data processing system, method and interconnect fabric for selective link information allocation in a data processing system
App 20060176906 - Fields; James S. JR. ;   et al.
2006-08-10
Data processing system, method and interconnect fabric having an address-based launch governor
App 20060176885 - Goodman; Benjiman L. ;   et al.
2006-08-10
Data processing system, method and interconnect fabric for partial response accumulation in a data processing system
App 20060179272 - Clark; Leo J. ;   et al.
2006-08-10
Data processing system, method and interconnect fabric for synchronized communication in a data processing system
App 20060179337 - Goodman; Benjiman L. ;   et al.
2006-08-10
Method and apparatus for performing data prefetch in a multiprocessor system
App 20060179237 - Fields; James S. JR. ;   et al.
2006-08-10
Data processing system, method and interconnect fabric supporting destination data tagging
App 20060179254 - Clark; Leo J. ;   et al.
2006-08-10
Data processing system and method for predictively selecting a scope of broadcast of an operation utilizing a location of a memory
App 20060179249 - Fields; James S. JR. ;   et al.
2006-08-10

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed