loadpatents
name:-0.226478099823
name:-0.20160579681396
name:-0.036643981933594
Starke; William J. Patent Filings

Starke; William J.

Patent Applications and Registrations

Patent applications and USPTO patent grants for Starke; William J..The latest application filed is for "multifunction communication interface supporting memory sharing among data processing systems".

Company Profile
35.200.200
  • Starke; William J. - Round Rock TX
  • Starke; William J - Round Rock TX
  • Starke; William J. - Rocnd Rock TX
  • Starke; William J. - Austin TX
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Multifunction communication interface supporting memory sharing among data processing systems
Grant 11,341,060 - Siegel , et al. May 24, 2
2022-05-24
Completion logic performing early commitment of a store-conditional access based on a flag
Grant 11,281,582 - Williams , et al. March 22, 2
2022-03-22
Speculative bank activate dynamic random access memory (DRAM) scheduler
Grant 11,269,561 - Zheng , et al. March 8, 2
2022-03-08
Multifunction Communication Interface Supporting Memory Sharing Among Data Processing Systems
App 20220050787 - Siegel; Michael S. ;   et al.
2022-02-17
Information handling system with immediate scheduling of load operations
Grant 11,157,411 - Ghai , et al. October 26, 2
2021-10-26
Translation invalidation in a translation cache serving an accelerator
Grant 11,113,204 - Blaner , et al. September 7, 2
2021-09-07
Managing efficient selection of a particular processor thread for handling an interrupt
Grant 11,074,205 - Arndt , et al. July 27, 2
2021-07-27
Early Commitment Of A Store-conditional Request
App 20210216457 - WILLIAMS; DEREK E. ;   et al.
2021-07-15
Speculative bank activate dynamic random access memory (DRAM) scheduler
Grant 11,042,325 - Zheng , et al. June 22, 2
2021-06-22
Integrated circuit and data processing system supporting address aliasing in an accelerator
Grant 11,030,110 - Siegel , et al. June 8, 2
2021-06-08
Multiple chip bridge connector
Grant 10,991,635 - McHerron , et al. April 27, 2
2021-04-27
Speculative Bank Activate Dynamic Random Access Memory (dram) Scheduler
App 20210109680 - ZHENG; Jie ;   et al.
2021-04-15
Speculative Bank Activate Dynamic Random Access Memory (dram) Scheduler
App 20210042058 - ZHENG; Jie ;   et al.
2021-02-11
Multiple Chip Bridge Connector
App 20210020529 - McHerron; Dale Curtis ;   et al.
2021-01-21
Integrated circuit and data processing system supporting attachment of a real address-agnostic accelerator
Grant 10,846,235 - Blaner , et al. November 24, 2
2020-11-24
Secure memory implementation for secure execution of virtual machines
Grant 10,831,889 - Hall , et al. November 10, 2
2020-11-10
Selectively preventing pre-coherence point reads in a cache hierarchy to reduce barrier overhead
Grant 10,824,567 - Williams , et al. November 3, 2
2020-11-03
Integrated circuit and data processing system having a configurable cache directory for an accelerator
Grant 10,761,995 - Blaner , et al. Sep
2020-09-01
Selectively Preventing Pre-coherence Point Reads In A Cache Hierarchy To Reduce Barrier Overhead
App 20200174931 - WILLIAMS; DEREK E. ;   et al.
2020-06-04
Link-level cyclic redundancy check replay for non-blocking coherence flow
Grant 10,664,398 - Marino , et al.
2020-05-26
Information Handling System with Immediate Scheduling of Load Operations
App 20200110704 - Ghai; Sanjeev ;   et al.
2020-04-09
Efficient enforcement of barriers with respect to memory move sequences
Grant 10,613,792 - Frey , et al.
2020-04-07
Dropped command truncation for efficient queue utilization in multiprocessor data processing system
Grant 10,606,777 - Krolak , et al.
2020-03-31
Remote node broadcast of requests in a multinode data processing system
Grant 10,579,527 - Guthrie , et al.
2020-03-03
Dropped Command Truncation For Efficient Queue Utilization In Multiprocessor Data Processing System
App 20200065276 - Krolak; David J. ;   et al.
2020-02-27
Link-level Cyclic Redundancy Check Replay For Non-blocking Coherence Flow
App 20200042449 - Marino; Charles F. ;   et al.
2020-02-06
Secure Memory Implementation For Secure Execution Of Virtual Machines
App 20190392143 - Hall; William E. ;   et al.
2019-12-26
Managing Efficient Selection Of A Particular Processor Thread For Handling An Interrupt
App 20190370198 - ARNDT; RICHARD L. ;   et al.
2019-12-05
Secure memory implementation for secure execution of Virtual Machines
Grant 10,474,816 - Hall , et al. Nov
2019-11-12
Integrated Circuit And Data Processing System Supporting Address Aliasing In An Accelerator
App 20190332551 - SIEGEL; MICHAEL S. ;   et al.
2019-10-31
Integrated Circuit And Data Processing System Supporting Attachment Of A Real Address-agnostic Accelerator
App 20190332537 - BLANER; BARTHOLOMEW ;   et al.
2019-10-31
Translation Invalidation In A Translation Cache Serving An Accelerator
App 20190332548 - BLANER; BARTHOLOMEW ;   et al.
2019-10-31
Integrated Circuit And Data Processing System Having A Configurable Cache Directory For An Accelerator
App 20190332549 - BLANER; BARTHOLOMEW ;   et al.
2019-10-31
Master requesting missing segments of a cache line for which the master has coherence ownership
Grant 10,437,725 - Guthrie , et al. O
2019-10-08
Managing efficient selection of a particular processor thread for handling an interrupt
Grant 10,423,550 - Arndt , et al. Sept
2019-09-24
Managing lowest point of coherency (LPC) memory using a service layer adapter
Grant 10,394,711 - Adar , et al. A
2019-08-27
Remote Node Broadcast Of Requests In A Multinode Data Processing System
App 20190220409 - GUTHRIE; GUY L. ;   et al.
2019-07-18
Memory move instruction sequence targeting an accelerator switchboard
Grant 10,346,164 - Arimilli , et al. July 9, 2
2019-07-09
Migration of memory move instruction sequences between hardware threads
Grant 10,331,373 - Guthrie , et al.
2019-06-25
Secure memory implementation for secure execution of virtual machines
Grant 10,296,741 - Hall , et al.
2019-05-21
Managing Efficient Selection Of A Particular Processor Thread For Handling An Interrupt
App 20190121760 - ARNDT; RICHARD L. ;   et al.
2019-04-25
Memory lock mechanism for a multiprocessor system
Grant 10,235,215 - Arimilli , et al.
2019-03-19
Pre-transmission data reordering for a serial interface
Grant 10,216,653 - Arimilli , et al. Feb
2019-02-26
Techniques For Requesting Data Associated With A Cache Line In Symmetric Multiprocessor Systems
App 20190042428 - GUTHRIE; GUY L. ;   et al.
2019-02-07
Secure Memory Implementation For Secure Execution Of Virtual Machines
App 20190034627 - Hall; William E. ;   et al.
2019-01-31
Secure Memory Implementation For Secure Execution Of Virtual Machines
App 20190034628 - Hall; William E. ;   et al.
2019-01-31
Managing speculative memory access requests in the presence of transactional storage accesses
Grant 10,169,103 - Guthrie , et al. J
2019-01-01
Efficient Enforcement Of Barriers With Respect To Memory Move Sequences
App 20180373436 - FREY; BRADLY G. ;   et al.
2018-12-27
Techniques for implementing barriers to efficiently support cumulativity in a weakly-ordered memory system
Grant 10,162,755 - Guthrie , et al. Dec
2018-12-25
Decreasing the data handoff interval for a reserved cache line based on an early indication of a systemwide coherence response
Grant 10,157,134 - Guthrie , et al. Dec
2018-12-18
Memory move instruction sequence including a stream of copy-type and paste-type instructions
Grant 10,152,322 - Frey , et al. Dec
2018-12-11
Early freeing of a snoop machine of a data processing system prior to completion of snoop processing for an interconnect operation
Grant 10,152,417 - Guthrie , et al. Dec
2018-12-11
Memory access in a data processing system utilizing copy and paste instructions
Grant 10,140,052 - Williams , et al. Nov
2018-11-27
Memory move instruction sequence targeting a memory-mapped device
Grant 10,126,952 - Arimilli , et al. November 13, 2
2018-11-13
Managing speculative memory access requests in the presence of transactional storage accesses
Grant 10,108,464 - Guthrie , et al. October 23, 2
2018-10-23
Decreasing the data handoff interval in a multiprocessor data processing system based on an early indication of a systemwide coherence response
Grant 10,102,130 - Guthrie , et al. October 16, 2
2018-10-16
Efficient enforcement of barriers with respect to memory move sequences
Grant 10,067,713 - Frey , et al. September 4, 2
2018-09-04
Memory move instruction sequence enabling software control
Grant 9,996,298 - Arimilli , et al. June 12, 2
2018-06-12
Managing Lowest Point Of Coherency (lpc) Memory Using A Service Layer Adapter
App 20180150396 - ADAR; ETAI ;   et al.
2018-05-31
Pre-transmission Data Reordering For A Serial Interface
App 20180095905 - ARIMILLI; LAKSHMINARAYANA BABA ;   et al.
2018-04-05
Memory Move Instruction Sequence Including A Stream Of Copy-type And Paste-type Instructions
App 20180052687 - FREY; BRADLY G. ;   et al.
2018-02-22
Migration Of Memory Move Instruction Sequences Between Hardware Threads
App 20180052607 - GUTHRIE; GUY L. ;   et al.
2018-02-22
Memory Move Instruction Sequence Enabling Software Control
App 20180052608 - ARIMILLI; LAKSHMINARAYANA B. ;   et al.
2018-02-22
Memory Move Instruction Sequence Targeting A Memory-mapped Device
App 20180052599 - ARIMILLI; LAKSHMINARAYANA B. ;   et al.
2018-02-22
Memory Move Instruction Sequence Targeting An Accelerator Switchboard
App 20180052688 - ARIMILLI; LAKSHMINARAYANA B. ;   et al.
2018-02-22
Efficient Enforcement Of Barriers With Respect To Memory Move Sequences
App 20180052606 - FREY; BRADLY G. ;   et al.
2018-02-22
Memory Access In A Data Processing System Utilizing Copy And Paste Instructions
App 20180052605 - WILLIAMS; DEREK E. ;   et al.
2018-02-22
Transactional storage accesses supporting differing priority levels
Grant 9,798,577 - Guthrie , et al. October 24, 2
2017-10-24
Transactional storage accesses supporting differing priority levels
Grant 9,792,147 - Guthrie , et al. October 17, 2
2017-10-17
Decreasing The Data Handoff Interval For A Reserved Cache Line Based On An Early Indication Of A Systemwide Coherence Response
App 20170293558 - GUTHRIE; GUY L. ;   et al.
2017-10-12
Decreasing The Data Handoff Interval In A Multiprocessor Data Processing System Based On An Early Indication Of A Systemwide Coherence Response
App 20170293557 - GUTHRIE; GUY L. ;   et al.
2017-10-12
Early Freeing Of A Snoop Machine Of A Data Processing System Prior To Completion Of Snoop Processing For An Interconnect Operation
App 20170293559 - GUTHRIE; GUY L. ;   et al.
2017-10-12
Non-serialized push instruction for pushing a message payload from a sending thread to a receiving thread
Grant 9,778,933 - Arimilli , et al. October 3, 2
2017-10-03
Non-serialized push instruction for pushing a message payload from a sending thread to a receiving thread
Grant 9,766,890 - Arimilli , et al. September 19, 2
2017-09-19
Counter-based victim selection in a cache memory
Grant 9,727,488 - Drerup , et al. August 8, 2
2017-08-08
Counter-based victim selection in a cache memory
Grant 9,727,489 - Drerup , et al. August 8, 2
2017-08-08
Addressing for inter-thread push communication
Grant 9,684,551 - Arimilli , et al. June 20, 2
2017-06-20
Addressing for inter-thread push communication
Grant 9,678,812 - Arimilli , et al. June 13, 2
2017-06-13
Proactive prefetch throttling
Grant 9,619,390 - Guthrie , et al. April 11, 2
2017-04-11
Push instruction for pushing a message payload from a sending thread to a receiving thread
Grant 9,575,825 - Arimilli , et al. February 21, 2
2017-02-21
Techniques For Implementing Barriers To Efficiently Support Cumulativity In A Weakly-ordered Memory System
App 20170046264 - GUTHRIE; GUY L. ;   et al.
2017-02-16
Push instruction for pushing a message payload from a sending thread to a receiving thread
Grant 9,569,293 - Arimilli , et al. February 14, 2
2017-02-14
Techniques for implementing barriers to efficiently support cumulativity in a weakly-ordered memory system
Grant 9,563,558 - Guthrie , et al. February 7, 2
2017-02-07
Transactional Storage Accesses Supporting Differing Priority Levels
App 20170004004 - GUTHRIE; GUY L. ;   et al.
2017-01-05
Transactional Storage Accesses Supporting Differing Priority Levels
App 20170004085 - GUTHRIE; GUY L. ;   et al.
2017-01-05
Virtual machine backup
Grant 9,519,502 - Guthrie , et al. December 13, 2
2016-12-13
Techniques for implementing barriers to efficiently support cumulativity in a weakly-ordered memory system
Grant 9,514,045 - Guthrie , et al. December 6, 2
2016-12-06
Cache backing store for transactional memory
Grant 9,514,049 - Guthrie , et al. December 6, 2
2016-12-06
Cache backing store for transactional memory
Grant 9,501,411 - Guthrie , et al. November 22, 2
2016-11-22
Determining command rate based on dropped commands
Grant 9,495,312 - Ganfield , et al. November 15, 2
2016-11-15
Determining command rate based on dropped commands
Grant 9,495,314 - Ganfield , et al. November 15, 2
2016-11-15
Transient condition management utilizing a posted error detection processing protocol
Grant 9,471,410 - Dodson , et al. October 18, 2
2016-10-18
Integrated circuit system having decoupled logical and physical interfaces
Grant 9,454,484 - Blaner , et al. September 27, 2
2016-09-27
Programmable coherent proxy for attached processor
Grant 9,442,852 - Blaner , et al. September 13, 2
2016-09-13
Push Instruction For Pushing A Message Payload From A Sending Thread To A Receiving Thread
App 20160179593 - ARIMILLI; LAKSHMINARAYANA B. ;   et al.
2016-06-23
Push Instruction For Pushing A Message Payload From A Sending Thread To A Receiving Thread
App 20160179591 - ARIMILLI; LAKSHMINARAYANA B. ;   et al.
2016-06-23
Addressing For Inter-thread Push Communication
App 20160179592 - ARIMILLI; LAKSHMINARAYANA B. ;   et al.
2016-06-23
Addressing For Inter-thread Push Communication
App 20160179590 - ARIMILLI; LAKSHMINARAYANA B. ;   et al.
2016-06-23
Non-serialized Push Instruction For Pushing A Message Payload From A Sending Thread To A Receiving Thread
App 20160179517 - ARIMILLI; LAKSHMINARAYANA B. ;   et al.
2016-06-23
Non-serialized Push Instruction For Pushing A Message Payload From A Sending Thread To A Receiving Thread
App 20160179518 - ARIMILLI; LAKSHMINARAYANA B. ;   et al.
2016-06-23
Programmable coherent proxy for attached processor
Grant 9,367,458 - Blaner , et al. June 14, 2
2016-06-14
Coherency overcommit
Grant 9,367,504 - Guthrie , et al. June 14, 2
2016-06-14
Coherency overcommit
Grant 9,367,505 - Guthrie , et al. June 14, 2
2016-06-14
Dynamic write priority based on virtual write queue high water mark for set associative cache using cache cleaner when modified sets exceed threshold
Grant 9,355,035 - Goodman , et al. May 31, 2
2016-05-31
Hardware-assisted interthread push communication
Grant 9,342,387 - Arimilli , et al. May 17, 2
2016-05-17
Techniques for cache injection in a processor system based on a shared state
Grant 9,336,145 - Arimilli , et al. May 10, 2
2016-05-10
Hardware-assisted interthread push communication
Grant 9,286,148 - Arimilli , et al. March 15, 2
2016-03-15
Techniques for moving checkpoint-based high-availability log and data directly from a producer cache to a consumer cache
Grant 9,280,465 - Guthrie , et al. March 8, 2
2016-03-08
Cache Backing Store For Transactional Memory
App 20160062891 - GUTHRIE; GUY L. ;   et al.
2016-03-03
Cache Backing Store For Transactional Memory
App 20160062892 - GUTHRIE; GUY L. ;   et al.
2016-03-03
Moving checkpoint-based high-availability log and data directly from a producer cache to a consumer cache
Grant 9,274,952 - Guthrie , et al. March 1, 2
2016-03-01
Improving processor performance for instruction sequences that include barrier instructions
Grant 9,274,856 - Guthrie , et al. March 1, 2
2016-03-01
Techniques for cache injection in a processor system from a remote node
Grant 9,268,703 - Arimilli , et al. February 23, 2
2016-02-23
Early data tag to allow data CRC bypass via a speculative memory data return protocol
Grant 9,231,618 - Goodman , et al. January 5, 2
2016-01-05
Virtual Machine Backup
App 20150378770 - Guthrie; Guy L. ;   et al.
2015-12-31
Selective cache-to-cache lateral castouts
Grant 9,189,403 - Guthrie , et al. November 17, 2
2015-11-17
Selective cache-to-cache lateral castouts
Grant 9,176,876 - Guthrie , et al. November 3, 2
2015-11-03
Techniques For Implementing Barriers To Efficiently Support Cumulativity In A Weakly-ordered Memory System
App 20150286570 - GUTHRIE; GUY L. ;   et al.
2015-10-08
Techniques For Implementing Barriers To Efficiently Support Cumulativity In A Weakly-ordered Memory System
App 20150286569 - GUTHRIE; GUY L. ;   et al.
2015-10-08
Managing Speculative Memory Access Requests In The Presence Of Transactional Storage Accesses
App 20150242250 - GUTHRIE; GUY L. ;   et al.
2015-08-27
Managing Speculative Memory Access Requests In The Presence Of Transactional Storage Accesses
App 20150242251 - GUTHRIE; GUY L. ;   et al.
2015-08-27
Synchronizing Access To Data In Shared Memory
App 20150242320 - GUTHRIE; GUY L. ;   et al.
2015-08-27
Formation of an exclusive ownership coherence state in a lower level cache upon replacement from an upper level cache of a cache line in a private shared owner state
Grant 9,110,808 - Guthrie , et al. August 18, 2
2015-08-18
Early data tag to allow data CRC bypass via a speculative memory data return protocol
Grant 9,106,258 - Goodman , et al. August 11, 2
2015-08-11
Coherent proxy for attached processor
Grant 9,086,975 - Blaner , et al. July 21, 2
2015-07-21
Virtual machine failover
Grant 9,069,701 - Guthrie , et al. June 30, 2
2015-06-30
Determining Command Rate Based On Dropped Commands
App 20150178230 - GANFIELD; PAUL A. ;   et al.
2015-06-25
Determining Command Rate Based On Dropped Commands
App 20150178231 - GANFIELD; PAUL A. ;   et al.
2015-06-25
Coherency Overcommit
App 20150178205 - GUTHRIE; GUY L. ;   et al.
2015-06-25
Coherency Overcommit
App 20150178233 - GUTHRIE; GUY L. ;   et al.
2015-06-25
Virtual machines failover
Grant 9,058,195 - Ghai , et al. June 16, 2
2015-06-16
Transient condition management utilizing a posted error detection processing protocol
Grant 9,058,260 - Dodson , et al. June 16, 2
2015-06-16
Selective posted data error detection based on request type
Grant 9,058,178 - Cargnoni , et al. June 16, 2
2015-06-16
Virtual machines failover
Grant 9,047,221 - Guthrie , et al. June 2, 2
2015-06-02
Early Data Tag To Allow Data Crc Bypass Via A Speculative Memory Data Return Protocol
App 20150149866 - Goodman; Benjiman L. ;   et al.
2015-05-28
Early Data Tag To Allow Data Crc Bypass Via A Speculative Memory Data Return Protocol
App 20150149854 - Goodman; Benjiman L. ;   et al.
2015-05-28
Dynamic Write Priority Based On Virtual Write Queue High Water Mark
App 20150143056 - Goodman; Benjiman L. ;   et al.
2015-05-21
Dynamic Write Priority Based On Virtual Write Queue High Water Mark
App 20150143059 - Goodman; Benjiman L. ;   et al.
2015-05-21
Virtual Machine Backup
App 20150143055 - Guthrie; Guy L. ;   et al.
2015-05-21
Virtual machine failover
Grant 9,032,157 - Ghai , et al. May 12, 2
2015-05-12
Moving Checkpoint-Based High-Availability Log and Data Directly From a Producer Cache to a Consumer Cache
App 20150100732 - Guthrie; Guy Lynn ;   et al.
2015-04-09
Techniques for Moving Checkpoint-Based High-Availability Log and Data Directly From a Producer Cache to a Consumer Cache
App 20150100731 - Guthrie; Guy Lynn ;   et al.
2015-04-09
Selective posted data error detection based on request type
Grant 8,990,640 - Cargnoni , et al. March 24, 2
2015-03-24
Data cache block deallocate requests
Grant 8,959,289 - Ghai , et al. February 17, 2
2015-02-17
Processor performance improvement for instruction sequences that include barrier instructions
Grant 8,935,513 - Guthrie , et al. January 13, 2
2015-01-13
Data cache block deallocate requests in a multi-level cache hierarchy
Grant 8,930,629 - Ghai , et al. January 6, 2
2015-01-06
Integrated Circuit System Having Decoupled Logical And Physical Interfaces
App 20140365733 - BLANER; BARTHOLOMEW ;   et al.
2014-12-11
Binding a process to a special purpose processing element having characteristics of a processor
Grant 8,893,126 - Arimilli , et al. November 18, 2
2014-11-18
Data cache block deallocate requests in a multi-level cache hierarchy
Grant 8,874,852 - Ghai , et al. October 28, 2
2014-10-28
Transient Condition Management Utilizing A Posted Error Detection Processing Protocol
App 20140304573 - DODSON; JOHN S. ;   et al.
2014-10-09
Transient Condition Management Utilizing A Posted Error Detection Processing Protocol
App 20140304558 - DODSON; JOHN S. ;   et al.
2014-10-09
Data cache block deallocate requests
Grant 8,856,455 - Ghai , et al. October 7, 2
2014-10-07
Coordinated writeback of dirty cachelines
Grant 8,838,901 - Daly , et al. September 16, 2
2014-09-16
Virtual Machine Failover
App 20140164709 - Guthrie; Guy Lynn ;   et al.
2014-06-12
Virtual Machines Failover
App 20140164710 - GHAI; SANJEEV ;   et al.
2014-06-12
Virtual Machines Failover
App 20140164701 - GUTHRIE; GUY L. ;   et al.
2014-06-12
Virtual Machine Failover
App 20140165056 - Ghai; Sanjeev ;   et al.
2014-06-12
Coherent Proxy For Attached Processor
App 20140149681 - BLANER; BARTHOLOMEW ;   et al.
2014-05-29
Programmable Coherent Proxy For Attached Processor
App 20140149683 - BLANER; BARTHOLOMEW ;   et al.
2014-05-29
Coherent Proxy For Attached Processor
App 20140149689 - BLANER; BARTHOLOMEW ;   et al.
2014-05-29
Programmable Coherent Proxy For Attached Processor
App 20140149682 - BLANER; BARTHOLOMEW ;   et al.
2014-05-29
Selective Posted Data Error Detection Based On Request Type
App 20140143611 - CARGNONI; ROBERT A. ;   et al.
2014-05-22
Selective Posted Data Error Detection Based On Request Type
App 20140143613 - CARGNONI; ROBERT A. ;   et al.
2014-05-22
Memory bus write prioritization
Grant 8,683,128 - Daly , et al. March 25, 2
2014-03-25
Cache-based speculation of stores following synchronizing operations
Grant 8,683,140 - Guthrie , et al. March 25, 2
2014-03-25
Aggregate data processing system having multiple overlapping synthetic computers
Grant 8,656,128 - Guthrie , et al. February 18, 2
2014-02-18
Facilitating data coherency using in-memory tag bits and tag test instructions
Grant 8,656,121 - Guthrie , et al. February 18, 2
2014-02-18
Aggregate symmetric multiprocessor system
Grant 8,656,129 - Starke February 18, 2
2014-02-18
Memory bus write prioritization
Grant 8,645,627 - Daly , et al. February 4, 2
2014-02-04
Facilitating data coherency using in-memory tag bits and tag test instructions
Grant 8,645,644 - Guthrie , et al. February 4, 2
2014-02-04
Facilitating data coherency using in-memory tag bits and faulting stores
Grant 8,645,633 - Guthrie , et al. February 4, 2
2014-02-04
Coordinated writeback of dirty cachelines
Grant 8,615,634 - Daly , et al. December 24, 2
2013-12-24
Data Cache Block Deallocate Requests In A Multi-level Cache Hierarchy
App 20130262770 - GHAI; Sanjeev ;   et al.
2013-10-03
Data Cache Block Deallocate Requests In A Multi-level Cache Hierarchy
App 20130262778 - Ghai; Sanjeev ;   et al.
2013-10-03
Data Cache Block Deallocate Requests
App 20130262777 - Ghai; Sanjeev ;   et al.
2013-10-03
Data Cache Block Deallocate Requests
App 20130262769 - GHAI; SANJEEV ;   et al.
2013-10-03
Load request scheduling in a cache hierarchy
Grant 8,521,982 - Cargnoni , et al. August 27, 2
2013-08-27
Memory coherence directory supporting remotely sourced requests of nodal scope
Grant 8,510,512 - Ganfield , et al. August 13, 2
2013-08-13
Processor Performance Improvement For Instruction Sequences That Include Barrier Instructions
App 20130205120 - Guthrie; Guy L ;   et al.
2013-08-08
Processor Performance Improvement For Instruction Sequences That Include Barrier Instructions
App 20130205121 - GUTHRIE; GUY L. ;   et al.
2013-08-08
Memory coherence directory supporting remotely sourced requests of nodal scope
Grant 8,504,779 - Ganfield , et al. August 6, 2
2013-08-06
Handling castout cache lines in a victim cache
Grant 8,499,124 - Guthrie , et al. July 30, 2
2013-07-30
Processor, data processing system and method supporting a shared global coherency state
Grant 8,495,308 - Guthrie , et al. July 23, 2
2013-07-23
Victim cache lateral castout targeting
Grant 8,489,819 - Guthrie , et al. July 16, 2
2013-07-16
Host fabric interface (HFI) to perform global shared memory (GSM) operations
Grant 8,484,307 - Arimilli , et al. July 9, 2
2013-07-09
Cache-based speculation of stores following synchronizing operations
Grant 8,412,888 - Guthrie , et al. April 2, 2
2013-04-02
Aggregate data processing system having multiple overlapping synthetic computers
Grant 8,370,595 - Guthrie , et al. February 5, 2
2013-02-05
Aggregate symmetric multiprocessor system
Grant 8,364,922 - Starke January 29, 2
2013-01-29
Empirically based dynamic control of transmission of victim cache lateral castouts
Grant 8,347,036 - Cargnoni , et al. January 1, 2
2013-01-01
Victim cache replacement
Grant 8,347,037 - Guthrie , et al. January 1, 2
2013-01-01
Aggregate Data Processing System Having Multiple Overlapping Synthetic Computers
App 20120324189 - Guthrie; Guy L. ;   et al.
2012-12-20
Aggregate Symmetric Multiprocessor System
App 20120324190 - Starke; William J.
2012-12-20
Performing a partial cache line storage-modifying operation based upon a hint
Grant 8,332,588 - Arimilli , et al. December 11, 2
2012-12-11
Synchronizing access to data in shared memory via upper level cache queuing
Grant 8,327,074 - Guthrie , et al. December 4, 2
2012-12-04
Victim cache replacement
Grant 8,327,072 - Guthrie , et al. December 4, 2
2012-12-04
Empirically based dynamic control of acceptance of victim cache lateral castouts
Grant 8,327,073 - Guthrie , et al. December 4, 2
2012-12-04
Facilitating Data Coherency Using In-memory Tag Bits And Faulting Stores
App 20120297109 - GUTHRIE; Guy L. ;   et al.
2012-11-22
Facilitating Data Coherency Using In-memory Tag Bits And Tag Test Instructions
App 20120297146 - GUTHRIE; Guy L. ;   et al.
2012-11-22
Facilitating Data Coherency Using In-memory Tag Bits And Tag Test Instructions
App 20120296877 - GUTHRIE; Guy L. ;   et al.
2012-11-22
Mode-based castout destination selection
Grant 8,312,220 - Guthrie , et al. November 13, 2
2012-11-13
Synchronizing access to data in shared memory via upper level cache queuing
Grant 8,296,519 - Guthrie , et al. October 23, 2
2012-10-23
Performing A Partial Cache Line Storage-modifying Operation Based Upon A Hint
App 20120265938 - Arimilli; Ravi K. ;   et al.
2012-10-18
Data processing system, method and interconnect fabric having a flow governor
Grant 8,254,411 - Clark , et al. August 28, 2
2012-08-28
Cache-based Speculation Of Stores Following Synchronizing Operations
App 20120210072 - Guthrie; Guy L. ;   et al.
2012-08-16
Coordinated Writeback Of Dirty Cachelines
App 20120203968 - DALY; David M. ;   et al.
2012-08-09
Selective Cache-to-cache Lateral Castouts
App 20120203973 - Guthrie; Guy L. ;   et al.
2012-08-09
Memory Bus Write Prioritization
App 20120203969 - DALY; DAVID M. ;   et al.
2012-08-09
Memory Coherence Directory Supporting Remotely Sourced Requests Of Nodal Scope
App 20120203976 - Ganfield; Paul A. ;   et al.
2012-08-09
Synchronizing Access To Data In Shared Memory Via Upper Level Cache Queuing
App 20120198167 - Guthrie; Guy L. ;   et al.
2012-08-02
Lateral cache-to-cache cast-in
Grant 8,225,045 - Guthrie , et al. July 17, 2
2012-07-17
Cache-Based Speculation of Stores Following Synchronizing Operations
App 20120179876 - Guthrie; Guy L. ;   et al.
2012-07-12
Method and apparatus for handling multiple memory requests within a multiprocessor system
Grant 8,214,603 - Arimilli , et al. July 3, 2
2012-07-03
Victim cache prefetching
Grant 8,209,489 - Guthrie , et al. June 26, 2
2012-06-26
Fault tolerant encoding of directory states for stuck bits
Grant 8,205,136 - Bell, Jr. , et al. June 19, 2
2012-06-19
Protecting ownership transfer with non-uniform protection windows
Grant 8,205,024 - Clark , et al. June 19, 2
2012-06-19
Partial cache line storage-modifying operation based upon a hint
Grant 8,140,771 - Arimilli , et al. March 20, 2
2012-03-20
Data processing system and method for predictively selecting a scope of broadcast of an operation
Grant 8,140,770 - Clark , et al. March 20, 2
2012-03-20
Ticket-based operation tracking
Grant 8,139,592 - Clark , et al. March 20, 2
2012-03-20
Bandwidth of a cache directory by slicing the cache directory into two smaller cache directories and replicating snooping logic for each sliced cache directory
Grant 8,135,910 - Guthrie , et al. March 13, 2
2012-03-13
Virtual barrier synchronization cache
Grant 8,131,935 - Arimilli , et al. March 6, 2
2012-03-06
Updating partial cache lines in a data processing system
Grant 8,117,390 - Cummings , et al. February 14, 2
2012-02-14
Victim cache line selection
Grant 8,117,397 - Guthrie , et al. February 14, 2
2012-02-14
Synchronized communication in a data processing system
Grant 8,103,791 - Goodman , et al. January 24, 2
2012-01-24
Methods, systems, and computer program products for dynamic selective memory mirroring
Grant 8,099,570 - O'Connor , et al. January 17, 2
2012-01-17
Virtual barrier synchronization cache castout election
Grant 8,095,733 - Arimilli , et al. January 10, 2
2012-01-10
Memory Bus Write Prioritization
App 20110276763 - DALY; DAVID M. ;   et al.
2011-11-10
Coordinated Writeback Of Dirty Cachelines
App 20110276762 - DALY; DAVID M. ;   et al.
2011-11-10
Remote asynchronous data mover
Grant 7,996,564 - Arimilli , et al. August 9, 2
2011-08-09
Method, apparatus, and computer program product in a processor for dynamically during runtime allocating memory for in-memory hardware tracing
Grant 7,992,051 - Al-Omari , et al. August 2, 2
2011-08-02
Synchronizing Access To Data In Shared Memory Via Upper Level Cache Queuing
App 20110161590 - Guthrie; Guy L. ;   et al.
2011-06-30
Formation Of An Exclusive Ownership Coherence State In A Lower Level Cache
App 20110161588 - Guthrie; Guy L. ;   et al.
2011-06-30
Proactive Prefetch Throttling
App 20110161587 - Guthrie; Guy L. ;   et al.
2011-06-30
Selective Cache-to-cache Lateral Castouts
App 20110161589 - Guthrie; Guy L. ;   et al.
2011-06-30
Aggregate Symmetric Multiprocessor System
App 20110153936 - Starke; William J.
2011-06-23
Aggregate Data Processing System Having Multiple Overlapping Synthetic Computers
App 20110153943 - Guthrie; Guy L. ;   et al.
2011-06-23
Issuing global shared memory operations via direct cache injection to a host fabric interface
Grant 7,966,454 - Arimilli , et al. June 21, 2
2011-06-21
Interconnect fabric for a data processing system
Grant 7,944,932 - Clark , et al. May 17, 2
2011-05-17
Filtering snooped operations
Grant 7,941,611 - Goodman , et al. May 10, 2
2011-05-10
Allocating a global shared memory
Grant 7,925,842 - Arimilli , et al. April 12, 2
2011-04-12
Memory Coherence Directory Supporting Remotely Sourced Requests Of Nodal Scope
App 20110047352 - Ganfield; Paul A. ;   et al.
2011-02-24
Implementing an enhanced hover state with active prefetches
Grant 7,890,704 - Clark , et al. February 15, 2
2011-02-15
Apparatus and computer program product in a processor for performing in-memory tracing using existing communication paths
Grant 7,844,860 - Al-Omari , et al. November 30, 2
2010-11-30
Pipelining D states for MRU steerage during MRU-LRU member allocation
Grant 7,831,774 - Bell, Jr. , et al. November 9, 2
2010-11-09
Updating Partial Cache Lines in a Data Processing System
App 20100268884 - Cummings; David W. ;   et al.
2010-10-21
Techniques For Cache Injection In A Processor System From A Remote Node
App 20100268896 - Arimilli; Lakshminarayana Baba ;   et al.
2010-10-21
Remote Asynchronous Data Mover
App 20100268788 - Arimilli; Lakshminarayana B. ;   et al.
2010-10-21
Reducing number of rejected snoop requests by extending time to respond to snoop request
Grant 7,818,511 - Goodman , et al. October 19, 2
2010-10-19
Data processing system, method and interconnect fabric supporting multiple planes of processing nodes
Grant 7,818,388 - Arimilli , et al. October 19, 2
2010-10-19
Mode-Based Castout Destination Selection
App 20100262783 - Guthrie; Guy L. ;   et al.
2010-10-14
Empirically Based Dynamic Control of Acceptance of Victim Cache Lateral Castouts
App 20100262784 - Guthrie; Guy L. ;   et al.
2010-10-14
Empirically Based Dynamic Control of Transmission of Victim Cache Lateral Castouts
App 20100262778 - Cargnoni; Robert A. ;   et al.
2010-10-14
Techniques For Cache Injection In A Processor System Based On A Shared State
App 20100262787 - Arimilli; Lakshminarayana Baba ;   et al.
2010-10-14
Virtual Barrier Synchronization Cache
App 20100257317 - Arimilli; Ravi K. ;   et al.
2010-10-07
Virtual Barrier Synchronization Cache Castout Election
App 20100257316 - Arimilli; Ravi K. ;   et al.
2010-10-07
Victim Cache Lateral Castout Targeting
App 20100235577 - Guthrie; Guy L. ;   et al.
2010-09-16
Handling Castout Cache Lines In A Victim Cache
App 20100235576 - Guthrie; Guy L. ;   et al.
2010-09-16
Data processing system, method and interconnect fabric supporting destination data tagging
Grant 7,761,631 - Clark , et al. July 20, 2
2010-07-20
Data processing system and method for efficient communication utilizing an in coherency state
Grant 7,747,826 - Cantin , et al. June 29, 2
2010-06-29
Updating an invalid coherency state in response to snooping an operation
Grant 7,743,218 - Guthrie , et al. June 22, 2
2010-06-22
Victim Cache Line Selection
App 20100153650 - Guthrie; Guy L. ;   et al.
2010-06-17
Cache-To-Cache Cast-In
App 20100153647 - Guthrie; Guy L. ;   et al.
2010-06-17
Protecting ownership transfer with non-uniform protection windows
Grant 7,734,876 - Fields, Jr. , et al. June 8, 2
2010-06-08
Data processing system and method that permit pipelining of I/O write operations and multiple operation scopes
Grant 7,725,619 - Daly, Jr. , et al. May 25, 2
2010-05-25
Data processing system, cache system and method for reducing imprecise invalid coherency states
Grant 7,716,428 - Guthrie , et al. May 11, 2
2010-05-11
Store stream prefetching in a microprocessor
Grant 7,716,427 - Griswell, Jr. , et al. May 11, 2
2010-05-11
Victim Cache Prefetching
App 20100100683 - Guthrie; Guy L. ;   et al.
2010-04-22
Victim Cache Replacement
App 20100100682 - Guthrie; Guy L. ;   et al.
2010-04-22
Coherency management of castouts
Grant 7,689,771 - Fields, Jr. , et al. March 30, 2
2010-03-30
Method and system for handling stuck bits in cache directories
Grant 7,689,891 - Bell, Jr. , et al. March 30, 2
2010-03-30
Victim Cache Replacement
App 20100023695 - Guthrie; Guy L. ;   et al.
2010-01-28
Request and combined response broadcasting to processors coupled to other processors within node and coupled to respective processors in another node
Grant 7,627,738 - Chung , et al. December 1, 2
2009-12-01
Data processing system, processor and method of data processing that support memory access according to diverse memory models
Grant 7,610,458 - Arimilli , et al. October 27, 2
2009-10-27
Data processing system and method for selectively updating an invalid coherency state in response to snooping a castout
Grant 7,584,331 - Guthrie , et al. September 1, 2
2009-09-01
Methods, Systems, And Computer Program Products For Dynamic Selective Memory Mirroring
App 20090216985 - O'Connor; James A. ;   et al.
2009-08-27
Data processing system, cache system and method for precisely forming an invalid coherency state based upon a combined response
Grant 7,577,797 - Clark , et al. August 18, 2
2009-08-18
Processing Units Within a Multiprocessor System Adapted to Support Memory Locks
App 20090198920 - Arimilli; Lakshminarayana B. ;   et al.
2009-08-06
Heterogeneous Processing Elements
App 20090198971 - Arimilli; Lakshminarayana B. ;   et al.
2009-08-06
Memory Lock Mechanism for a Multiprocessor System
App 20090198849 - Arimilli; Lakshminarayana B. ;   et al.
2009-08-06
Method and Apparatus for Supporting Low-Overhead Memory Locks Within a Multiprocessor System
App 20090198916 - Arimilli; Lakshminarayana B. ;   et al.
2009-08-06
Host Fabric Interface (HFI) to Perform Global Shared Memory (GSM) Operations
App 20090198918 - Arimilli; Lakshminarayana B. ;   et al.
2009-08-06
Data Processing System, Processor And Method That Perform A Partial Cache Line Storage-modifying Operation Based Upon A Hint
App 20090198865 - ARIMILLI; RAVI K. ;   et al.
2009-08-06
Issuing Global Shared Memory Operations Via Direct Cache Injection to a Host Fabric Interface
App 20090198891 - Arimilli; Lakshimarayana B. ;   et al.
2009-08-06
Method and Apparatus for Handling Multiple Memory Requests Within a Multiprocessor System
App 20090198933 - Arimilli; Lakshminarayana B. ;   et al.
2009-08-06
Method and Apparatus for Supporting Distributed Computing Within a Multiprocessor System
App 20090198695 - Arimilli; Lakshminarayana B. ;   et al.
2009-08-06
Method, System and Program Product for Allocating a Global Shared Memory
App 20090157996 - Arimilli; Ravi K. ;   et al.
2009-06-18
Data processing system, cache system and method for handling a flush operation in a data processing system having multiple coherency domains
Grant 7,543,116 - Guthrie , et al. June 2, 2
2009-06-02
Data processing system, cache system and method for issuing a request on an interconnect fabric without reference to a lower level cache based upon a tagged cache state
Grant 7,536,513 - Guthrie , et al. May 19, 2
2009-05-19
Fault tolerant encoding of directory states for stuck bits
Grant 7,533,321 - Bell, Jr. , et al. May 12, 2
2009-05-12
Reducing number of rejected snoop requests by extending time to respond to snoop request
Grant 7,523,268 - Guthrie , et al. April 21, 2
2009-04-21
Data processing system, cache system and method for precisely forming an invalid coherency state indicating a broadcast scope
Grant 7,512,742 - Clark , et al. March 31, 2
2009-03-31
Store Stream Prefetching In A Microprocessor
App 20090070556 - Griswell, JR.; John Barry ;   et al.
2009-03-12
Data processing system, method and interconnect fabric for selective link information allocation in a data processing system
Grant 7,483,422 - Fields, Jr. , et al. January 27, 2
2009-01-27
Data processing system and method for predictively selecting a scope of a prefetch operation
Grant 7,484,042 - Goodman , et al. January 27, 2
2009-01-27
Reducing number of rejected snoop requests by extending time to respond to snoop request
Grant 7,484,046 - Goodman , et al. January 27, 2
2009-01-27
Data processing system, cache system and method for passively scrubbing a domain indication
Grant 7,478,201 - Clark , et al. January 13, 2
2009-01-13
Processor, data processing system, and method for initializing a memory block in a data processing system having multiple coherency domains
Grant 7,475,196 - Arimilli , et al. January 6, 2
2009-01-06
Data processing system, cache system and method for actively scrubbing a domain indication
Grant 7,475,195 - Clark , et al. January 6, 2
2009-01-06
Data Processing System And Method For Predictively Selecting A Scope Of Broadcast Of An Operation Utilizing A History-based Prediction
App 20090006766 - GOODMAN; BENJIMAN L. ;   et al.
2009-01-01
Data processing system, cache system and method for scrubbing a domain indication in response to execution of program code
Grant 7,467,262 - Clark , et al. December 16, 2
2008-12-16
Data Processing System, Method And Interconnect Fabric For Synchronized Communication In A Data Processing System
App 20080307137 - Goodman; Benjiman L. ;   et al.
2008-12-11
Data Processing System, Cache System And Method For Updating An Invalid Coherency State In Response To Snooping An Operation
App 20080301377 - GUTHRIE; GUY L. ;   et al.
2008-12-04
Fault Tolerant Encoding Of Directory States For Stuck Bits
App 20080301531 - Bell, JR.; Robert H. ;   et al.
2008-12-04
Data processing system, processor and method of data processing that reduce store queue entry utilization for synchronizing operations
Grant 7,454,580 - Arimilli , et al. November 18, 2
2008-11-18
Data Processing System, Method And Interconnect Fabric Supporting Multiple Planes Of Processing Nodes
App 20080225863 - Arimilli; Ravi K. ;   et al.
2008-09-18
Data Processing System And Method Of Data Processing Supporting Ticket-based Operation Tracking
App 20080222648 - CLARK; LEO J. ;   et al.
2008-09-11
Data Processing System And Method For Efficient Communication Utilizing An In Coherency State
App 20080215821 - Cantin; Jason F. ;   et al.
2008-09-04
Cache Memory, Processing Unit, Data Processing System And Method For Filtering Snooped Operations
App 20080215824 - GOODMAN; BENJIMAN L. ;   et al.
2008-09-04
Data Processing System, Method And Interconnect Fabric Supporting Destination Data Tagging
App 20080209135 - Clark; Leo J. ;   et al.
2008-08-28
Reducing Number Of Rejected Snoop Requests By Extending Time To Respond To Snoop Request
App 20080201533 - Guthrie; Guy L. ;   et al.
2008-08-21
Reducing Number Of Rejected Snoop Requests By Extending Time To Respond To Snoop Request
App 20080201534 - Guthrie; Guy L. ;   et al.
2008-08-21
Data Processing System, Method And Interconnect Fabric For Improved Communication In A Data Processing System
App 20080181244 - CLARK; LEO J. ;   et al.
2008-07-31
Data Processing System, Method And Interconnect Fabric For Selective Link Information Allocation In A Data Processing System
App 20080175272 - FIELDS; JAMES S. ;   et al.
2008-07-24
Data Processing System, Method And Interconnect Fabric Supporting High Bandwidth Communication Between Nodes
App 20080162872 - CHUNG; VICENTE E. ;   et al.
2008-07-03
System and Method for Implementing an Enhanced Hover State with Active Prefetches
App 20080147991 - Clark; Leo J. ;   et al.
2008-06-19
Data Processing System, Method and Interconnect Fabric that Protect Ownership Transfer with Non-Uniform Protection Windows
App 20080120625 - Clark; Leo J. ;   et al.
2008-05-22
Data Processing System, Method and Interconnect Fabric that Protect Ownership Transfer with Non-Uniform Protection Windows
App 20080120473 - Fields; James S. ;   et al.
2008-05-22
Processor, Data Processing System and Method Supporting a Shared Global Coherency State
App 20080086602 - Guthrie; Guy L. ;   et al.
2008-04-10
Reducing Number of Rejected Snoop Requests By Extending Time To Respond To Snoop Request
App 20080077744 - Goodman; Benjiman L. ;   et al.
2008-03-27
Processor, Data Processing System and Method Supporting Improved Coherency Management of Castouts
App 20080071994 - Fields; James S. ;   et al.
2008-03-20
Data Processing System and Method for Predictively Selecting a Scope of a Prefetch Operation
App 20080046658 - Goodman; Benjiman L. ;   et al.
2008-02-21
Data Processing System, Processor and Method of Data Processing in which Local Memory Access Requests are Serviced by State Machines with Differing Functionality
App 20080016279 - Clark; Leo J. ;   et al.
2008-01-17
Data Processing System And Method For Predictively Selecting A Scope Of Broadcast Of An Operation Utilizing A History-based Prediction
App 20080016284 - GOODMAN; BENJIMAN L. ;   et al.
2008-01-17
Data Processing System, Processor and Method of Data Processing in which Local Memory Access Requests are Serviced on a Fixed Schedule
App 20080016278 - Clark; Leo J. ;   et al.
2008-01-17
Reducing Number of Rejected Snoop Requests By Extending Time to Respond to Snoop Request
App 20070294486 - Goodman; Benjiman L. ;   et al.
2007-12-20
Data Processing System, Processor And Method Of Data Processing Having Controllable Store Gather Windows
App 20070288694 - Ghai; Sanjeev ;   et al.
2007-12-13
Data Processing System And Method Of Data Processing Supporting Ticket-based Operation Tracking
App 20070266126 - Clark; Leo J. ;   et al.
2007-11-15
Data Processing System, Processor And Method Of Data Processing That Reduce Store Queue Entry Utilization For Synchronizing Operations
App 20070250669 - Arimilli; Ravi K. ;   et al.
2007-10-25
Data Processing System, Processor And Method Of Data Processing That Support Memory Access According To Diverse Memory Models
App 20070250668 - Arimilli; RaviK ;   et al.
2007-10-25
Data processing system, cache system and method for precisely forming an invalid coherency state based upon a combined response
App 20070226426 - Clark; Leo J. ;   et al.
2007-09-27
Processor, data processing system, and method for initializing a memory block in a data processing system having multiple coherency domains
App 20070226423 - Arimilli; Ravi K. ;   et al.
2007-09-27
Data processing system, cache system and method for updating an invalid coherency state in response to snooping an operation
App 20070226427 - Guthrie; Guy L. ;   et al.
2007-09-27
Data processing system, cache system and method for reducing imprecise invalid coherency states
App 20070204110 - Guthrie; Guy L. ;   et al.
2007-08-30
Data processing system, cache system and method for handling a flush operation in a data processing system having multiple coherency domains
App 20070180196 - Guthrie; Guy L. ;   et al.
2007-08-02
Data processing system, cache system and method for precisely forming an invalid coherency state indicating a broadcast scope
App 20070168618 - Clark; Leo J. ;   et al.
2007-07-19
Data processing system and method for selecting a scope of broadcast of an operation by reference to a translation table
App 20070168639 - McCalpin; John D. ;   et al.
2007-07-19
Data processing system, method and interconnect fabric supporting multiple planes of processing nodes
App 20070081516 - Arimilli; Ravi K. ;   et al.
2007-04-12
Fault tolerant encoding of directory states for stuck bits
App 20070079216 - Bell; Robert H. JR. ;   et al.
2007-04-05
Method and system for handling stuck bits in cache directories
App 20070079210 - Bell; Robert H. JR. ;   et al.
2007-04-05
Data processing system, method and interconnect fabric supporting high bandwidth communication between nodes
App 20070073998 - Chung; Vicente E. ;   et al.
2007-03-29
Data processing system and method that permit pipelining of I/O write operations and multiple operation scopes
App 20070073919 - Daly; George W. JR. ;   et al.
2007-03-29
Data processing system and method for selectively updating an invalid coherency state in response to snooping a castout
App 20060277370 - Guthrie; Guy L. ;   et al.
2006-12-07
Data processing system and method for predictively selecting a scope of broadcast of an operation utilizing a history-based prediction
App 20060271744 - Goodman; Benjiman L. ;   et al.
2006-11-30
Data processing system, cache system and method for actively scrubbing a domain indication
App 20060271742 - Clark; Leo J. ;   et al.
2006-11-30
Data processing system, cache system and method for passively scrubbing a domain indication
App 20060271743 - Clark; Leo J. ;   et al.
2006-11-30
Data processing system, cache system and method for scrubbing a domain indication in response to execution of program code
App 20060271741 - Clark; Leo J. ;   et al.
2006-11-30
Cache memory, processing unit, data processing system and method for assuming a selected invalid coherency state based upon a request source
App 20060236037 - Guthrie; Guy L. ;   et al.
2006-10-19
Data processing system, cache system and method for issuing a request on an interconnect fabric without reference to a lower level cache based upon a tagged cache state
App 20060224833 - Guthrie; Guy L. ;   et al.
2006-10-05
Data processing system, method and interconnect fabric having a flow governor
App 20060187958 - Clark; Leo J. ;   et al.
2006-08-24
Reducing number of rejected snoop requests by extending time to respond to snoop request
App 20060184748 - Goodman; Benjiman L. ;   et al.
2006-08-17
Reducing number of rejected snoop requests by extending time to respond to snoop request
App 20060184746 - Guthrie; Guy L. ;   et al.
2006-08-17
Reducing number of rejected snoop requests by extending time to respond to snoop request
App 20060184749 - Guthrie; Guy L. ;   et al.
2006-08-17
Bandwidth of a cache directory by slicing the cache directory into two smaller cache directories and replicating snooping logic for each sliced cache directory
App 20060184747 - Guthrie; Guy L. ;   et al.
2006-08-17
Cache memory, processing unit, data processing system and method for filtering snooped operations
App 20060179244 - Goodman; Benjiman L. ;   et al.
2006-08-10
Data processing system and method for efficient communication utilizing an In coherency state
App 20060179252 - Cantin; Jason F. ;   et al.
2006-08-10
Data processing system, method and interconnect fabric for selective link information allocation in a data processing system
App 20060176906 - Fields; James S. JR. ;   et al.
2006-08-10
Data processing system, method and interconnect fabric for partial response accumulation in a data processing system
App 20060179272 - Clark; Leo J. ;   et al.
2006-08-10
Data processing system, method and interconnect fabric for improved communication in a data processing system
App 20060176890 - Clark; Leo J. ;   et al.
2006-08-10
Data processing system, method and interconnect fabric that protect ownership transfer with a protection window extension
App 20060179253 - Fields; James S. JR. ;   et al.
2006-08-10
Data processing system, method and interconnect fabric supporting destination data tagging
App 20060179254 - Clark; Leo J. ;   et al.
2006-08-10
Store stream prefetching in a microprocessor
App 20060179238 - Griswell; John Barry JR. ;   et al.
2006-08-10
Data processing system and method for predictively selecting a scope of broadcast of an operation
App 20060179241 - Clark; Leo J. ;   et al.
2006-08-10
Data processing system and method for predictively selecting a scope of broadcast of an operation utilizing a location of a memory
App 20060179249 - Fields; James S. JR. ;   et al.
2006-08-10
Data processing system, method and interconnect fabric for synchronized communication in a data processing system
App 20060179337 - Goodman; Benjiman L. ;   et al.
2006-08-10
Zero cycle penalty in selecting instructions in prefetch buffer in the event of a miss in the instruction cache
App 20040215921 - Alexander, Gregory W. ;   et al.
2004-10-28
Super-coherent data mechanisms for shared caches in a multiprocessing system
App 20030097528 - Arimilli, Ravi Kumar ;   et al.
2003-05-22
Dynamic hardware and software performance optimizations for super-coherent SMP systems
App 20030097531 - Arimilli, Ravi Kumar ;   et al.
2003-05-22

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed