loadpatents
name:-0.069576025009155
name:-0.053092002868652
name:-0.012054920196533
Srinivasan; Mukund Patent Filings

Srinivasan; Mukund

Patent Applications and Registrations

Patent applications and USPTO patent grants for Srinivasan; Mukund.The latest application filed is for "conformal high concentration boron doping of semiconductors".

Company Profile
12.49.53
  • Srinivasan; Mukund - Santa Clara CA
  • Srinivasan; Mukund - Fremont CA
  • Srinivasan; Mukund - Lexington MA
  • Srinivasan; Mukund - Palatine IL
  • Srinivasan; Mukund - Bangalore IN
  • Srinivasan; Mukund - Mountain View CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Methods and apparatus for three dimensional NAND structure fabrication
Grant 11,430,801 - Koshizawa , et al. August 30, 2
2022-08-30
Conformal High Concentration Boron Doping Of Semiconductors
App 20220246432 - Gandikota; Srinivas ;   et al.
2022-08-04
Conformal high concentration boron doping of semiconductors
Grant 11,328,928 - Gandikota , et al. May 10, 2
2022-05-10
On stack overlay improvement for 3D NAND
Grant 11,276,569 - Lin , et al. March 15, 2
2022-03-15
3D-NAND mold
Grant 11,189,635 - Kang , et al. November 30, 2
2021-11-30
Cyclic flowable deposition and high-density plasma treatment processes for high quality gap fill solutions
Grant 11,152,248 - Liang , et al. October 19, 2
2021-10-19
Methods And Apparatus For Three Dimensional Nand Structure Fabrication
App 20210257375 - Koshizawa; Takehito ;   et al.
2021-08-19
Methods And Apparatus For Three Dimensional Nand Structure Fabrication
App 20210233918 - Koshizawa; Takehito ;   et al.
2021-07-29
Methods and apparatus for three dimensional NAND structure fabrication
Grant 10,998,329 - Koshizawa , et al. May 4, 2
2021-05-04
Framework for distributed key-value store in a wide area network
Grant 10,924,550 - Xu , et al. February 16, 2
2021-02-16
Methods And Apparatus For Three Dimensional Nand Structure Fabrication
App 20200373310 - Koshizawa; Takehito ;   et al.
2020-11-26
3d-nand Mold
App 20200312874 - Kang; Chang Seok ;   et al.
2020-10-01
Cyclic Flowable Deposition And High-density Plasma Treatment Processes For High Quality Gap Fill Solutions
App 20200286773 - LIANG; Jingmei ;   et al.
2020-09-10
System And Method For Personalized Add-on Purchase
App 20200258119 - A1
2020-08-13
Semiconductor process equipment
Grant 10,734,265 - Janakiraman , et al.
2020-08-04
Cyclic flowable deposition and high-density plasma treatment processes for high quality gap fill solutions
Grant 10,707,116 - Liang , et al.
2020-07-07
System and method for personalized add-on purchase
Grant 10,565,615 - Burr , et al. Feb
2020-02-18
On Stack Overlay Improvement For 3d Nand
App 20200043723 - LIN; Yongjing ;   et al.
2020-02-06
Conformal High Concentration Boron Doping Of Semiconductors
App 20190385851 - GANDIKOTA; SRINIVAS ;   et al.
2019-12-19
Dielectric-metal stack for 3D flash memory application
Grant 10,475,644 - Han , et al. Nov
2019-11-12
Photo-assisted deposition of flowable films
Grant 10,460,936 - Underwood , et al. Oc
2019-10-29
Method and apparatus of processing wafers with compressive or tensile stress at elevated temperatures in a plasma enhanced chemical vapor deposition system
Grant 10,403,535 - Ye , et al. Sep
2019-09-03
System and method for upload and synchronization of media content to cloud based media services
Grant 10,380,077 - Suresh , et al. A
2019-08-13
Methods for processing substrates using small plasma chambers
Grant 10,332,727 - Gottscho , et al.
2019-06-25
Processing system containing an isolation region separating a deposition chamber from a treatment chamber
Grant 10,236,197 - Janakiraman , et al.
2019-03-19
Cyclic Flowable Deposition And High-density Plasma Treatment Processes For High Quality Gap Fill Solutions
App 20180330980 - LIANG; Jingmei ;   et al.
2018-11-15
Semiconductor Process Equipment
App 20180308735 - JANAKIRAMAN; Karthik ;   et al.
2018-10-25
Framework For Distributed Key-value Store In A Wide Area Network
App 20180302474 - Xu; Yuehai ;   et al.
2018-10-18
Dielectric-metal Stack For 3d Flash Memory Application
App 20180247808 - HAN; Xinhai ;   et al.
2018-08-30
Semiconductor process equipment
Grant 10,056,279 - Janakiraman , et al. August 21, 2
2018-08-21
Cyclic sequential processes for forming high quality thin films
Grant 10,041,167 - Liang , et al. August 7, 2
2018-08-07
Framework for distributed key-value store in a wide area network
Grant 10,033,812 - Xu , et al. July 24, 2
2018-07-24
Integrated Atomic Layer Deposition Tool
App 20180155834 - Srinivasan; Mukund ;   et al.
2018-06-07
Methods for Processing Substrates Using Small Plasma Chambers
App 20180144906 - Gottscho; Richard ;   et al.
2018-05-24
Dynamic Phased Array Plasma Source For Complete Plasma Coverage Of A Moving Substrate
App 20180138023 - Ponnekanti; Hari ;   et al.
2018-05-17
Dielectric-metal stack for 3D flash memory application
Grant 9,972,487 - Han , et al. May 15, 2
2018-05-15
Small plasma chamber systems and methods
Grant 9,911,578 - Gottscho , et al. March 6, 2
2018-03-06
Photo-assisted Deposition Of Flowable Films
App 20180040473 - UNDERWOOD; Brian Saxton ;   et al.
2018-02-08
Quad Chamber And Platform Having Multiple Quad Chambers
App 20170194174 - JANAKIRAMAN; Karthik ;   et al.
2017-07-06
Deposition of metal doped amorphous carbon film
Grant 9,624,577 - Manna , et al. April 18, 2
2017-04-18
Ultra-thin dielectric diffusion barrier and etch stop layer for advanced interconnect applications
Grant 9,613,908 - Padhi , et al. April 4, 2
2017-04-04
Framework For Distributed Key-value Store In A Wide Area Network
App 20170078387 - Xu; Yuehai ;   et al.
2017-03-16
Cyclic Sequential Processes For Forming High Quality Thin Films
App 20160244879 - LIANG; Jingmei ;   et al.
2016-08-25
Low-K dielectric gapfill by flowable deposition
Grant 9,412,581 - Thadani , et al. August 9, 2
2016-08-09
Development of high etch selective hardmask material by ion implantation into amorphous carbon films
Grant 9,412,613 - Manna , et al. August 9, 2
2016-08-09
Deposition of heteroatom-doped carbon films
Grant 9,406,509 - Manna , et al. August 2, 2
2016-08-02
Semiconductor Process Equipment
App 20160218029 - JANAKIRAMAN; Karthik ;   et al.
2016-07-28
Ultra-thin Dielectric Diffusion Barrier And Etch Stop Layer For Advanced Interconnect Applications
App 20160172239 - PADHI; Deenesh ;   et al.
2016-06-16
Processing System Containing An Isolation Region separating a Deposition chamber from a treatment chamber
App 20160133489 - JANAKIRAMAN; Karthik ;   et al.
2016-05-12
Method And Apparatus Of Processing Wafers With Compressive Or Tensile Stress At Elevated Temperatures In A Plasma Enhanced Chemical Vapor Deposition System
App 20160049323 - YE; Zheng John ;   et al.
2016-02-18
Deposition Of Metal Doped Amorphous Carbon Film
App 20160027614 - MANNA; Pramit ;   et al.
2016-01-28
Low-k Dielectric Gapfill By Flowable Deposition
App 20160020089 - Thadani; Kiran V. ;   et al.
2016-01-21
System And Method For Personalized Add-on Purchase
App 20160005070 - Burr; Jonathan R. ;   et al.
2016-01-07
Small plasma chamber systems and methods
Grant 9,111,729 - Gottscho , et al. August 18, 2
2015-08-18
Cleaning Process For Cleaning Amorphous Carbon Deposition Residuals Using Low Rf Bias Frequency Applications
App 20150228463 - MANNA; Pramit ;   et al.
2015-08-13
Deposition Of Heteroatom-doped Carbon Films
App 20150206739 - MANNA; Pramit ;   et al.
2015-07-23
Dielectric-metal Stack For 3d Flash Memory Application
App 20150206757 - HAN; XINHAI ;   et al.
2015-07-23
Development Of High Etch Selective Hardmask Material By Ion Implantation Into Amorphous Carbon Films
App 20150194317 - MANNA; PRAMIT ;   et al.
2015-07-09
Photo-assisted Deposition Of Flowable Films
App 20150187563 - UNDERWOOD; BRIAN SAXTON ;   et al.
2015-07-02
Enabling Radical-based Deposition Of Dielectric Films
App 20150167160 - CHEN; Yihong ;   et al.
2015-06-18
Integrated capacitive and inductive power sources for a plasma etching chamber
Grant 8,911,590 - Dhindsa , et al. December 16, 2
2014-12-16
System And Method For Upload And Synchronization Of Media Content To Cloud Based Media Services
App 20140304225 - SURESH; DEEPA ;   et al.
2014-10-09
High Throughput Multi-layer Stack Deposition
App 20140287593 - HAN; Xinhai ;   et al.
2014-09-25
Small Plasma Chamber Systems and Methods
App 20140151333 - Gottscho; Richard ;   et al.
2014-06-05
High lifetime consumable silicon nitride-silicon dioxide plasma processing components
Grant 8,622,021 - Taylor , et al. January 7, 2
2014-01-07
Hierarchical rate limiting of control packets
Grant 8,576,866 - Gupta , et al. November 5, 2
2013-11-05
Methods for RF pulsing of a narrow gap capacitively coupled reactor
Grant 8,337,713 - Loewenhardt , et al. December 25, 2
2012-12-25
Hierarchical Rate Limiting Of Control Packets
App 20120195323 - Gupta; Anubhav ;   et al.
2012-08-02
Process tuning gas injection from the substrate edge
Grant 8,097,120 - Dhindsa , et al. January 17, 2
2012-01-17
RF pulsing of a narrow gap capacitively coupled reactor
Grant 7,976,673 - Loewenhardt , et al. July 12, 2
2011-07-12
Small Plasma Chamber Systems And Methods
App 20110132874 - Gottscho; Richard ;   et al.
2011-06-09
High Lifetime Consumable Silicon Nitride-silicon Dioxide Plasma Processing Components
App 20110021031 - Taylor; Travis R. ;   et al.
2011-01-27
Hardmask Open And Etch Profile Control With Hardmask Open
App 20100327413 - Lee; Jong Pil ;   et al.
2010-12-30
Hierarchical Rate Limiting of Control Packets
App 20100278191 - Gupta; Anubhav ;   et al.
2010-11-04
High aspect ratio etch using modulation of RF powers of various frequencies
Grant 7,749,353 - Rusu , et al. July 6, 2
2010-07-06
Etch profile control
Grant 7,645,707 - Rusu , et al. January 12, 2
2010-01-12
Process for etching dielectric films with improved resist and/or etch profile characteristics
Grant 7,547,635 - Eppler , et al. June 16, 2
2009-06-16
Multiple frequency plasma processor method and apparatus
Grant 7,405,521 - Dhindsa , et al. July 29, 2
2008-07-29
Integrated capacitive and inductive power sources for a plasma etching chamber
App 20070199658 - Dhindsa; Rajinder ;   et al.
2007-08-30
Process tuning gas injection from the substrate edge
App 20070193688 - Dhindsa; Rajinder ;   et al.
2007-08-23
High aspect ratio etch using modulation of RF powers of various frequencies
App 20070012659 - Rusu; Camelia ;   et al.
2007-01-18
High aspect ratio etch using modulation of RF powers of various frequencies
Grant 7,144,521 - Rusu , et al. December 5, 2
2006-12-05
Etch profile control
App 20060226120 - Rusu; Camelia ;   et al.
2006-10-12
Chamber configuration for confining a plasma
Grant 7,094,315 - Chen , et al. August 22, 2
2006-08-22
High aspect ratio etch using modulation of RF powers of various frequencies
App 20060118518 - Rusu; Camelia ;   et al.
2006-06-08
Plasma processor in plasma confinement region within a vacuum chamber
Grant 6,984,288 - Dhindsa , et al. January 10, 2
2006-01-10
Methods of reducing photoresist distortion while etching in a plasma processing system
Grant 6,942,816 - Rusu , et al. September 13, 2
2005-09-13
Chamber configuration for confining a plasma
App 20050103442 - Chen, Jian J. ;   et al.
2005-05-19
Chamber configuration for confining a plasma
Grant 6,872,281 - Chen , et al. March 29, 2
2005-03-29
Multiple frequency plasma etch reactor
App 20050039682 - Dhindsa, Raj ;   et al.
2005-02-24
Stepped upper electrode for plasma processing uniformity
Grant 6,824,627 - Dhindsa , et al. November 30, 2
2004-11-30
RF pulsing of a narrow gap capacitively coupled reactor
App 20040221958 - Loewenhardt, Peter ;   et al.
2004-11-11
Methods of reducing photoresist distortion while etching in a plasma processing system
App 20040155012 - Rusu, Camelia ;   et al.
2004-08-12
Probe for direct wafer potential measurements
Grant 6,714,033 - Makhratchev , et al. March 30, 2
2004-03-30
Process for etching dielectric films with improved resist and/or etch profile characteristics
App 20030232504 - Eppler, Aaron ;   et al.
2003-12-18
Dual frequency plasma processor
App 20030029567 - Dhindsa, Rajinder ;   et al.
2003-02-13
Stepped upper electrode for plasma processing uniformity
App 20020187647 - Dhindsa, Rajinder ;   et al.
2002-12-12
Stepped upper electrode for plasma processing uniformity
Grant 6,391,787 - Dhindsa , et al. May 21, 2
2002-05-21
Company Registrations
SEC0001500491Srinivasan Mukund

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed