loadpatents
name:-0.063689947128296
name:-0.067188024520874
name:-0.0040059089660645
Snyder; Michael D. Patent Filings

Snyder; Michael D.

Patent Applications and Registrations

Patent applications and USPTO patent grants for Snyder; Michael D..The latest application filed is for "hardware verification of dynamically generated code".

Company Profile
2.64.46
  • Snyder; Michael D. - Cedar Park TX
  • Snyder; Michael D. - Noblesville IN
  • Snyder; Michael D - Noblesville IN US
  • Snyder; Michael D. - Austin TX
  • Snyder; Michael D. - Binghamton NY
  • Snyder; Michael D. - Bettendorf IA
  • Snyder; Michael D. - Ankeny IA
  • Snyder; Michael D. - Chenango Bridge NY
  • Snyder; Michael D. - Bridgewater NJ
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Hardware Verification of Dynamically Generated Code
App 20220137968 - Gonion; Jeffrey E. ;   et al.
2022-05-05
Virtual Channel Support Using Write Table
App 20220083369 - Snyder; Michael D. ;   et al.
2022-03-17
System and method for recording and monitoring communications using a media server
Grant 10,516,716 - Wyss , et al. Dec
2019-12-24
System and method for voicemail acceleration
Grant 10,498,901 - Walsh , et al. De
2019-12-03
System And Method For Voicemail Acceleration
App 20170257489 - Walsh; Richard J. ;   et al.
2017-09-07
System and method for voicemail acceleration
Grant 9,497,326 - Walsh , et al. November 15, 2
2016-11-15
System And Method For Recording And Monitoring Communications Using A Media Server
App 20160330263 - Wyss; Felix Immanuel ;   et al.
2016-11-10
System and method for recording and monitoring communications using a media server
Grant 9,432,388 - Wyss , et al. August 30, 2
2016-08-30
Debug instruction for execution by a first thread to generate a debug event in a second thread to cause a halting operation
Grant 9,395,983 - Moyer , et al. July 19, 2
2016-07-19
Data processor for processing a decorated storage notify
Grant 9,213,665 - Moyer , et al. December 15, 2
2015-12-15
Indicating disabled thread to other threads when contending instructions complete execution to ensure safe shared resource condition
Grant 9,047,079 - Bruce , et al. June 2, 2
2015-06-02
System and method for processing potentially self-inconsistent memory transactions
Grant 9,026,742 - Deshpande , et al. May 5, 2
2015-05-05
Method and apparatus for cache transactions in a data processing system
Grant 8,972,671 - Moyer , et al. March 3, 2
2015-03-03
Thread de-emphasis instruction for multithreaded processor
Grant 8,832,702 - Bruce , et al. September 9, 2
2014-09-09
Permissions checking for data processing instructions
Grant 8,627,471 - Moyer , et al. January 7, 2
2014-01-07
Processor with hardware thread control logic indicating disable status when instructions accessing shared resources are completed for safe shared resource condition
Grant 8,615,644 - Bruce , et al. December 24, 2
2013-12-24
Polling using reservation mechanism
Grant 8,539,485 - Snyder , et al. September 17, 2
2013-09-17
Methods for testing a memory embedded in an integrated circuit
Grant 8,531,899 - Zhang , et al. September 10, 2
2013-09-10
System and method for recording and monitoring communications using a media server
Grant 8,427,981 - Wyss , et al. April 23, 2
2013-04-23
Integrated circuit having an embedded memory and method for testing the memory
Grant 8,379,466 - Zhang , et al. February 19, 2
2013-02-19
Technique for determining if a logical sum of a first operand and a second operand is the same as a third operand
Grant 8,380,779 - Bruce , et al. February 19, 2
2013-02-19
Qualification of conditional debug instructions based on address
Grant 8,261,047 - Moyer , et al. September 4, 2
2012-09-04
System and Method for Recording and Monitoring Communications Using a Media Server
App 20120195415 - Wyss; Felix Immanuel ;   et al.
2012-08-02
Hardware Thread Disable With Status Indicating Safe Shared Resource Condition
App 20120185678 - Bruce; Becky ;   et al.
2012-07-19
Error detection in a content addressable memory (CAM)
Grant 8,199,547 - Ramaraju , et al. June 12, 2
2012-06-12
Voltage-based memory size scaling in a data processing system
Grant 8,156,357 - Zhang , et al. April 10, 2
2012-04-10
Method and apparatus to trace and correlate data trace and instruction trace for out-of-order processors
Grant 8,122,437 - Xu , et al. February 21, 2
2012-02-21
Forward progress mechanism for a multithreaded processor
Grant 8,117,618 - Holloway , et al. February 14, 2
2012-02-14
Performance monitoring device and method thereof
Grant 8,041,901 - Snyder October 18, 2
2011-10-18
Hardware Thread Disable With Status Indicating Safe Shared Resource Condition
App 20110208949 - Bruce; Becky ;   et al.
2011-08-25
Error Detection In A Content Addressable Memory (cam)
App 20110194325 - Ramaraju; Ravindraraj ;   et al.
2011-08-11
Completion continue on thread switch based on instruction progress metric mechanism for a microprocessor
Grant 7,941,646 - Holloway , et al. May 10, 2
2011-05-10
Interprocessor message transmission via coherency-based interconnect
Grant 7,941,499 - Bruce , et al. May 10, 2
2011-05-10
Memory operation testing
Grant 7,852,692 - Zhang , et al. December 14, 2
2010-12-14
Interrupt controller for accelerated interrupt handling in a data processing system and method thereof
Grant 7,849,247 - Marietta , et al. December 7, 2
2010-12-07
Technique For Determining If A Logical Sum Of A First Operand And A Second Operand Is The Same As A Third Operand
App 20100306302 - Ramaraju; Ravindraraj ;   et al.
2010-12-02
Cache locking device and methods thereof
Grant 7,827,360 - Rahman , et al. November 2, 2
2010-11-02
Integrated Circuit Having An Embedded Memory And Method For Testing The Memory
App 20100246297 - Zhang; Shayan ;   et al.
2010-09-30
Multiple address and arithmetic bit-mode data processing device and methods thereof
Grant 7,805,581 - Snyder , et al. September 28, 2
2010-09-28
Voltage-based Memory Size Scaling In A Data Processing System
App 20100191990 - Zhang; Shayan ;   et al.
2010-07-29
Permissions Checking For Data Processing Instructions
App 20100107243 - Moyer; William C. ;   et al.
2010-04-29
Data Processor For Processing A Decorated Storage Notify
App 20100106872 - Moyer; William C. ;   et al.
2010-04-29
Method and system for data transfers across different address spaces
Grant 7,702,881 - Bruce , et al. April 20, 2
2010-04-20
Interrupt Acknowledgment In A Data Processing System
App 20100095039 - Marietta; Bryan D. ;   et al.
2010-04-15
Integrated circuit memory having dynamically adjustable read margin and method therefor
Grant 7,688,656 - Zhang , et al. March 30, 2
2010-03-30
Debug instruction for use in a data processing system
Grant 7,689,815 - Moyer , et al. March 30, 2
2010-03-30
Dynamic branch prediction using a wake value to enable low power mode for a predicted number of instruction fetches between a branch and a subsequent branch
Grant 7,681,021 - Schuler , et al. March 16, 2
2010-03-16
Debug Instruction For Use In A Multi-threaded Data Processing System
App 20100049955 - Moyer; William C. ;   et al.
2010-02-25
Debug Instruction For Use In A Multi-threaded Data Processing System
App 20100049956 - Moyer; William C. ;   et al.
2010-02-25
Memory Operation Testing
App 20090323446 - ZHANG; SHAYAN ;   et al.
2009-12-31
Method and Apparatus to Trace and Correlate Data Trace and Instruction Trace for Out-of-Order Processors
App 20090249302 - Xu; Zheng ;   et al.
2009-10-01
Branch Target Buffer Addressing In A Data Processor
App 20090249048 - Schuler; Sergio ;   et al.
2009-10-01
Qualification Of Conditional Debug Instructions Based On Address
App 20090235059 - Moyer; William C. ;   et al.
2009-09-17
Completion Continue On Thread Switch Mechanism For A Microprocessor
App 20090172361 - Holloway; David C. ;   et al.
2009-07-02
System And Method For Processing Potentially Self-inconsistent Memory Transactions
App 20090164737 - Deshpande; Sanjay R. ;   et al.
2009-06-25
Polling Using Reservation Mechanism
App 20090132796 - Snyder; Michael D. ;   et al.
2009-05-21
Pseudo Least Recently Used (plru) Cache Replacement
App 20090113137 - Grayson; Brian C. ;   et al.
2009-04-30
Integrated Circuit Memory Having Dynamically Adjustable Read Margin And Method Therefor
App 20090103379 - Zhang; Shayan ;   et al.
2009-04-23
Debug Instruction For Use In A Data Processing System
App 20090100254 - Moyer; William C. ;   et al.
2009-04-16
Forward Progress Mechanism For A Multithreaded Processor
App 20090100432 - Holloway; David C. ;   et al.
2009-04-16
Prefetching using hashed program counter
Grant 7,506,105 - Al-Sukhni , et al. March 17, 2
2009-03-17
Cache Locking Device And Methods Thereof
App 20090037666 - Rahman; Syed R. ;   et al.
2009-02-05
Specification Of Coherence Domain During Address Translation
App 20090019232 - Deshpande; Sanjay R. ;   et al.
2009-01-15
System And Method For Secure Communication Configuration
App 20090019170 - Wyss; Felix Immanuel ;   et al.
2009-01-15
Method And Apparatus For Cache Transactions In A Data Processing System
App 20080288725 - Moyer; William C. ;   et al.
2008-11-20
Method And Apparatus For Cache Transactions In A Data Processing System
App 20080288724 - Moyer; William C. ;   et al.
2008-11-20
Thread De-emphasis Instruction For Multithreaded Processor
App 20080282251 - Bruce; Klas M. ;   et al.
2008-11-13
Performance Monitoring Device And Method Thereof
App 20080222382 - Snyder; Michael D.
2008-09-11
Interprocessor Message Transmission Via Coherency-based Interconnect
App 20080222389 - Bruce; Becky G. ;   et al.
2008-09-11
Multi-mode Data Processing Device And Methods Thereof
App 20080209182 - Snyder; Michael D. ;   et al.
2008-08-28
System And Method For Recording And Monitoring Communications Using A Media Server
App 20080205378 - Wyss; Felix Immanuel ;   et al.
2008-08-28
Method And System For Data Transfers Across Different Address Spaces
App 20080183943 - Bruce; Becky G. ;   et al.
2008-07-31
Dynamic Branch Prediction Predictor
App 20080082843 - Schuler; Sergio ;   et al.
2008-04-03
Encoder system
Grant 7,281,339 - Snyder , et al. October 16, 2
2007-10-16
Prefetch address generation implementing multiple confidence levels
App 20060248280 - Al-Sukhni; Hassan F. ;   et al.
2006-11-02
Prefetching using hashed program counter
App 20060248281 - Al-Sukhni; Hassan F. ;   et al.
2006-11-02
Prefetching across a page boundary
App 20060248279 - Al-Sukhni; Hassan F. ;   et al.
2006-11-02
System and method for cache external writing and write shadowing
Grant 7,069,384 - Snyder , et al. June 27, 2
2006-06-27
Encoder system
App 20050246916 - Snyder, Michael D. ;   et al.
2005-11-10
System and method for cache external writing and write shadowing
App 20050050281 - Snyder, Michael D. ;   et al.
2005-03-03
System and method for cache external writing
Grant 6,842,822 - Snyder , et al. January 11, 2
2005-01-11
Integrated air flow control for a pick and place spindle assembly
Grant 6,820,325 - Gieskes , et al. November 23, 2
2004-11-23
Integrated air flow control for a pick and place spindle assembly
App 20040074085 - Gieskes, Koenraad Alexander ;   et al.
2004-04-22
System and method for cache external writing and write shadowing
App 20030191902 - Snyder, Michael D. ;   et al.
2003-10-09
Method for use with a data coherency protocol allowing multiple snoop queries to a single snoop transaction and system therefor
Grant 5,630,095 - Snyder May 13, 1
1997-05-13
Weight transfer system for an agricultural machine
Grant 5,363,924 - Foley , et al. November 15, 1
1994-11-15
Hot-bar suspension system
Grant 5,051,555 - Porterfield , et al. September 24, 1
1991-09-24
Multi-spindle pick and place method and apparatus
Grant 5,040,291 - Janisiewicz , et al. August 20, 1
1991-08-20
Extended input and testing of electrical components for onsertion machines
Grant 5,023,544 - Vallone , et al. June 11, 1
1991-06-11
Feeder drive assembly and replaceable section for tape supplying and cover peeling
Grant 4,887,778 - Soth , et al. December 19, 1
1989-12-19
Conservation opener
Grant 4,760,806 - Bigbee , et al. August 2, 1
1988-08-02
Cut and clinch head assembly
Grant 4,356,619 - Snyder , et al. November 2, 1
1982-11-02
Multiple pulse timer
Grant 4,193,064 - Snyder March 11, 1
1980-03-11
Automated hybrid circuit board assembly apparatus
Grant 4,151,945 - Ragard , et al. May 1, 1
1979-05-01
Centering device for automatic placement of chip components in hybrid circuits
Grant 4,135,630 - Snyder , et al. January 23, 1
1979-01-23
Electrical component sequencer and taper
Grant 3,878,026 - Snyder , et al. April 15, 1
1975-04-15

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed