loadpatents
name:-0.10563588142395
name:-0.076984167098999
name:-0.031738042831421
Sistla; Krishnakanth V. Patent Filings

Sistla; Krishnakanth V.

Patent Applications and Registrations

Patent applications and USPTO patent grants for Sistla; Krishnakanth V..The latest application filed is for "current control for a multicore processor".

Company Profile
30.85.124
  • Sistla; Krishnakanth V. - Beaverton OR
  • Sistla; Krishnakanth V. - Portland OR
  • Sistla; Krishnakanth V. - Hillsboro OR US
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Adaptive parameterization for maximum current protection
Grant 11,372,464 - Keceli , et al. June 28, 2
2022-06-28
Current Control For A Multicore Processor
App 20220197361 - Gendler; Alexander ;   et al.
2022-06-23
Configuration Of Base Clock Frequency Of Processor Based On Usage Parameters
App 20220129031 - Srinivasan; Vasudevan ;   et al.
2022-04-28
Techniques to collect crash data for a computing system
Grant 11,294,749 - Krithivas , et al. April 5, 2
2022-04-05
Multiple dies hardware processors and methods
Grant 11,294,852 - Nassif , et al. April 5, 2
2022-04-05
System, apparatus and method for adaptive interconnect routing
Grant 11,256,657 - Singh , et al. February 22, 2
2022-02-22
Multiple Dies Hardware Processors And Methods
App 20220050805 - NASSIF; NEVINE ;   et al.
2022-02-17
Current control for a multicore processor
Grant 11,237,615 - Gendler , et al. February 1, 2
2022-02-01
Collaborative processor and system performance and power management
Grant 11,221,857 - Therien , et al. January 11, 2
2022-01-11
Hybrid prioritized resource allocation in thermally-or power-constrained computing devices
Grant 11,194,373 - Al-Rawi , et al. December 7, 2
2021-12-07
Configuration of base clock frequency of processor based on usage parameters
Grant 11,169,560 - Srinivasan , et al. November 9, 2
2021-11-09
Optimizing power usage by factoring processor architectural events to PMU
Grant 11,144,108 - Liu , et al. October 12, 2
2021-10-12
Optimizing Power Usage By Factoring Processor Architectural Events To Pmu
App 20210064117 - LIU; Yen-Cheng ;   et al.
2021-03-04
Control Blocks For Processor Power Management
App 20210064110 - Gendler; Alexander ;   et al.
2021-03-04
Apparatus and method to provide a thermal parameter report for a multi-chip package
Grant 10,877,530 - Thomas , et al. December 29, 2
2020-12-29
Multiple Dies Hardware Processors And Methods
App 20200334196 - NASSIF; NEVINE ;   et al.
2020-10-22
Dynamically Updating Logical Identifiers Of Cores Of A Processor
App 20200334193 - Varma; Ankush ;   et al.
2020-10-22
Current Control For A Multicore Processor
App 20200333867 - Gendler; Alexander ;   et al.
2020-10-22
HYBRID PRIORITIZED RESOURCE ALLOCATION in THERMALLY- or POWER-CONSTRAINED COMPUTING DEVICES
App 20200319693 - Al-Rawi; Asma ;   et al.
2020-10-08
Multiple dies hardware processors and methods
Grant 10,795,853 - Nassif , et al. October 6, 2
2020-10-06
System, Apparatus And Method For Adaptive Interconnect Routing
App 20200311018 - Singh; Tejpal ;   et al.
2020-10-01
Apparatus And Method To Provide A Thermal Parameter Report For A Multi-chip Package
App 20200301490 - Thomas; Tessil ;   et al.
2020-09-24
Supercapacitor-based power supply protection for multi-node systems
Grant 10,761,579 - Varma , et al. Sep
2020-09-01
Thread Scheduling Using Processing Engine Information
App 20200272513 - Ananthakrishnan; Avinash N. ;   et al.
2020-08-27
Dynamically updating logical identifiers of cores of a processor
Grant 10,706,004 - Varma , et al.
2020-07-07
Hybrid prioritized resource allocation in thermally- or power-constrained computing devices
Grant 10,627,885 - Al-Rawi , et al.
2020-04-21
Current control for a multicore processor
Grant 10,613,611 - Gendler , et al.
2020-04-07
Thread scheduling using processing engine information
Grant 10,545,793 - Ananthakrishnan , et al. Ja
2020-01-28
Configuration Of Base Clock Frequency Of Processor Based On Usage Parameters
App 20190384348 - SRINIVASAN; Vasudevan ;   et al.
2019-12-19
Method and apparatus to control a link power state
Grant 10,509,455 - Gough , et al. Dec
2019-12-17
Collaborative Processor And System Performance And Power Management
App 20190317773 - Therien; Guy M. ;   et al.
2019-10-17
User level control of power management policies
Grant 10,372,197 - Sistla , et al.
2019-08-06
Mechanism to provide workload and configuration-aware deterministic performance for microprocessors
Grant 10,345,884 - Varma , et al. July 9, 2
2019-07-09
Forcing core low power states in a processor
Grant 10,310,588 - Varma , et al.
2019-06-04
Apparatus and method for a user configurable reliability control loop
Grant 10,289,514 - Shapira , et al.
2019-05-14
Collaborative processor and system performance and power management
Grant 10,275,260 - Therien , et al.
2019-04-30
Thread Scheduling Using Processing Engine Information
App 20190102221 - Ananthakrishnan; Avinash N. ;   et al.
2019-04-04
Control Blocks for Processor Power Management
App 20190101969 - Gendler; Alexander ;   et al.
2019-04-04
HYBRID PRIORITIZED RESOURCE ALLOCATION in THERMALLY- or POWER-CONSTRAINED COMPUTING DEVICES
App 20190041949 - Al-Rawi; Asma ;   et al.
2019-02-07
Techniques To Collect Crash Data For A Computing System
App 20190042348 - KRITHIVAS; Ramamurthy ;   et al.
2019-02-07
Dynamic Offlining And Onlining Of Processor Cores
App 20180365022 - Varma; Ankush ;   et al.
2018-12-20
Adaptive Parameterization For Maximum Current Protection
App 20180356868 - Keceli; Fuat ;   et al.
2018-12-13
Processor power monitoring and control with dynamic load balancing
Grant 10,146,287 - Ardanaz , et al. De
2018-12-04
System, Apparatus And Method For Performing In-Field Self-Testing Of A Processor
App 20180336111 - Gendler; Alexander ;   et al.
2018-11-22
Resolving multi-core shared cache access conflicts
Grant 10,078,592 - Sistla , et al. September 18, 2
2018-09-18
Method and apparatus for improving snooping performance in a multi-core multi-processor
Grant 10,031,848 - Sistla , et al. July 24, 2
2018-07-24
Method And Apparatus To Control A Link Power State
App 20180196488 - Gough; Corey D. ;   et al.
2018-07-12
Computing platform interface with memory management
Grant 10,007,528 - Therien , et al. June 26, 2
2018-06-26
Average Current Protection Mechanism
App 20180173298 - Gendler; Alexander ;   et al.
2018-06-21
Method and apparatus for setting an I/O bandwidth-based processor frequency floor
Grant 9,977,482 - Varma , et al. May 22, 2
2018-05-22
Multiple Dies Hardware Processors And Methods
App 20180101502 - NASSIF; NEVINE ;   et al.
2018-04-12
Dynamically Updating Logical Identifiers Of Cores Of A Processor
App 20180067892 - Varma; Ankush ;   et al.
2018-03-08
Controlling telemetry data communication in a processor
Grant 9,910,470 - Garg , et al. March 6, 2
2018-03-06
Method and apparatus to control a link power state
Grant 9,880,601 - Gough , et al. January 30, 2
2018-01-30
Performing dynamic power control of platform devices
Grant 9,874,922 - Varma , et al. January 23, 2
2018-01-23
Current Control For A Multicore Processor
App 20170364132 - Gendler; Alexander ;   et al.
2017-12-21
Method and apparatus for execution mode selection
Grant 9,841,997 - Varma , et al. December 12, 2
2017-12-12
Dynamically updating logical identifiers of cores of a processor
Grant 9,842,082 - Varma , et al. December 12, 2
2017-12-12
Resolving Multi-core Shared Cache Access Conflicts
App 20170337131 - SISTLA; KRISHNAKANTH V. ;   et al.
2017-11-23
Supercapacitor-based Power Supply Protection For Multi-node Systems
App 20170329377 - Varma; Ankush ;   et al.
2017-11-16
Processor Power Monitoring And Control With Dynamic Load Balancing
App 20170285710 - Ardanaz; Federico ;   et al.
2017-10-05
Supercapacitor-based power supply protection for multi-node systems
Grant 9,766,673 - Varma , et al. September 19, 2
2017-09-19
Dynamically modifying a power/performance tradeoff based on a processor utilization
Grant 9,760,409 - Sistla , et al. September 12, 2
2017-09-12
Resolving multi-core shared cache access conflicts
Grant 9,727,468 - Sistla , et al. August 8, 2
2017-08-08
Tracking missed periodic actions across state domains
Grant 9,720,491 - Mulla , et al. August 1, 2
2017-08-01
Masking a power state of a core of a processor
Grant 9,710,041 - Gendler , et al. July 18, 2
2017-07-18
Virtualized communication sockets for multi-flow access to message channel infrastructure within CPU
Grant 9,697,059 - Borkowski , et al. July 4, 2
2017-07-04
Controlling Telemetry Data Communication In A Processor
App 20170177046 - Garg; Vivek ;   et al.
2017-06-22
Controlling configurable peak performance limits of a processor
Grant 9,671,854 - Shrall , et al. June 6, 2
2017-06-06
Changing The Clock Frequency Of A Computing Device
App 20170149554 - Gendler; Alexander ;   et al.
2017-05-25
Changing the clock frequency of a computing device
Grant 9,660,799 - Gendler , et al. May 23, 2
2017-05-23
Mechanism To Provide Workload And Configuration-aware Deterministic Performance For Microprocessors
App 20170115716 - VARMA; ANKUSH ;   et al.
2017-04-27
Forcing Core Low Power States In A Processor
App 20170102752 - Varma; Ankush ;   et al.
2017-04-13
User Level Control Of Power Management Policies
App 20170083076 - Sistla; Krishnakanth V. ;   et al.
2017-03-23
Masking A Power State Of A Core Of A Processor
App 20170031412 - Gendler; Alexander ;   et al.
2017-02-02
Dynamic power limit sharing in a platform
Grant 9,557,804 - Varma , et al. January 31, 2
2017-01-31
Optimizing Power Usage By Factoring Processor Architectural Events To Pmu
App 20170017286 - LIU; YEN-CHENG ;   et al.
2017-01-19
Optimizing Power Usage By Factoring Processor Architectural Events To Pmu
App 20170017292 - LIU; YEN-CHENG ;   et al.
2017-01-19
Dynamically measuring power consumption in a processor
Grant 9,547,027 - Varma , et al. January 17, 2
2017-01-17
Collaborative Processor And System Performance And Power Management
App 20170003724 - Therien; Guy M. ;   et al.
2017-01-05
User level control of power management policies
Grant 9,535,487 - Sistla , et al. January 3, 2
2017-01-03
Method And Apparatus For Execution Mode Selection
App 20160378486 - VARMA; ANKUSH ;   et al.
2016-12-29
Tracking Missed Periodic Actions Across State Domains
App 20160378173 - Mulla; Dean ;   et al.
2016-12-29
Measurement of performance scalability in a microprocessor
Grant 9,513,688 - Varma , et al. December 6, 2
2016-12-06
Forcing core low power states in a processor
Grant 9,495,001 - Varma , et al. November 15, 2
2016-11-15
Processor having frequency of operation information for guaranteed operation under high temperature events
Grant 9,494,996 - Varma , et al. November 15, 2
2016-11-15
Collaborative processor and system performance and power management
Grant 9,454,379 - Therien , et al. September 27, 2
2016-09-27
Dynamically Modifying A Power/Performance Tradeoff Based On A Processor Utilization
App 20160266941 - Sistla; Krishnakanth V. ;   et al.
2016-09-15
Collaborative processor and system performance and power management
Grant 9,442,739 - Therien , et al. September 13, 2
2016-09-13
Dynamically Updating Logical Identifiers Of Cores Of A Processor
App 20160252943 - Varma; Ankush ;   et al.
2016-09-01
Supercapacitor-based Power Supply Protection For Multi-node Systems
App 20160252942 - Varma; Ankush ;   et al.
2016-09-01
Performing Dynamic Power Control Of Platform Devices
App 20160239068 - VARMA; ANKUSH ;   et al.
2016-08-18
Mechanism to provide workload and configuration-aware deterministic performance for microprocessors
Grant 9,417,681 - Varma , et al. August 16, 2
2016-08-16
Performing frequency coordination in a multiprocessor system
Grant 9,405,351 - Varma , et al. August 2, 2
2016-08-02
Optimizing Power Usage By Factoring Processor Architectural Events To Pmu
App 20160195913 - LIU; YEN-CHENG ;   et al.
2016-07-07
Method And Apparatus To Control A Link Power State
App 20160187952 - Gough; Corey D. ;   et al.
2016-06-30
Apparatus And Method To Provide A Thermal Parameter Report For A Multi-chip Package
App 20160179158 - Thomas; Tessil ;   et al.
2016-06-23
Dynamically modifying a power/performance tradeoff based on processor utilization
Grant 9,372,524 - Sistla , et al. June 21, 2
2016-06-21
Optimizing power usage by factoring processor architectural events to PMU
Grant 9,367,112 - Liu , et al. June 14, 2
2016-06-14
Adaptively controlling low power mode operation for a cache memory
Grant 9,335,814 - Rusu , et al. May 10, 2
2016-05-10
Utilization-aware low-overhead link-width modulation for power reduction in interconnects
Grant 9,336,175 - Varma , et al. May 10, 2
2016-05-10
Apparatus and method for controlling the reliability stress rate on a processor
Grant 9,317,389 - Shapira , et al. April 19, 2
2016-04-19
Performing frequency coordination in a multiprocessor system based on response timing optimization
Grant 9,292,468 - Varma , et al. March 22, 2
2016-03-22
Dynamic Power Limit Sharing In A Platform
App 20160018883 - VARMA; Ankush ;   et al.
2016-01-21
User Level Control Of Power Management Policies
App 20160004291 - Sistla; Krishnakanth V. ;   et al.
2016-01-07
Apparatus And Method For A User Configurable Reliability Control Loop
App 20150377955 - Shapira; Dorit ;   et al.
2015-12-31
User level control of power management policies
Grant 9,170,624 - Sistla , et al. October 27, 2
2015-10-27
Controlling Configurable Peak Performance Limits Of A Processor
App 20150286266 - Shrall; Jeremy J. ;   et al.
2015-10-08
Utilization-aware Low-overhead Link-width Modulation For Power Reduction In Interconnects
App 20150269105 - Varma; Ankush ;   et al.
2015-09-24
Method, apparatus, and system for energy efficiency and energy conservation including dynamic control of energy consumption in power domains
Grant 9,141,166 - Sistla , et al. September 22, 2
2015-09-22
Virtualized Communication Sockets For Multi-flow Access To Message Channel Infrastructure Within Cpu
App 20150254118 - Borkowski; Daniel G. ;   et al.
2015-09-10
Mechanism To Provide Workload And Configuration-aware Deterministic Performance For Microprocessors
App 20150241949 - Varma; Ankush ;   et al.
2015-08-27
Managing performance policies based on workload scalability
Grant 9,110,735 - Diefenbaugh , et al. August 18, 2
2015-08-18
User level control of power management policies
Grant 9,098,261 - Sistla , et al. August 4, 2
2015-08-04
Virtualized communication sockets for multi-flow access to message channel infrastructure within CPU
Grant 9,092,581 - Borkowski , et al. July 28, 2
2015-07-28
Controlling configurable peak performance limits of a processor
Grant 9,086,834 - Shrall , et al. July 21, 2
2015-07-21
Wear-out equalization techniques for multiple functional units
Grant 9,087,146 - Rusu , et al. July 21, 2
2015-07-21
Controlling configurable peak performance limits of a processor
Grant 9,075,556 - Shrall , et al. July 7, 2
2015-07-07
Runtime Persistence
App 20150186278 - JAYAKUMAR; SARATHY ;   et al.
2015-07-02
Utilization-aware low-overhead link-width modulation for power reduction in interconnects
Grant 9,053,244 - Varma , et al. June 9, 2
2015-06-09
Mechanism to provide workload and configuration-aware deterministic performance for microprocessors
Grant 9,037,840 - Varma , et al. May 19, 2
2015-05-19
Optimizing Power Usage By Factoring Processor Architectural Events To Pmu
App 20150127962 - LIU; YEN-CHENG ;   et al.
2015-05-07
Event-triggered Storage Of Data To Non-volatile Memory
App 20150089287 - Jayakumar; Sarathy ;   et al.
2015-03-26
Adaptively Controlling Low Power Mode Operation For A Cache Memory
App 20150067361 - Rusu; Stefan ;   et al.
2015-03-05
Forcing Core Low Power States In A Processor
App 20150058650 - Varma; Ankush ;   et al.
2015-02-26
Apparatus And Method For Controlling The Reliability Stress Rate On A Processor
App 20150006971 - Shapira; Dorit ;   et al.
2015-01-01
Measurement Of Performance Scalability In A Microprocessor
App 20140281612 - Varma; Ankush ;   et al.
2014-09-18
Processor Having Frequency Of Operation Information For Guaranteed Operation Under High Temperature Events
App 20140281445 - Varma; Ankush ;   et al.
2014-09-18
Dynamically Measuring Power Consumption In A Processor
App 20140195828 - Varma; Ankush ;   et al.
2014-07-10
Managing Performance Policies Based On Workload Scalability
App 20140189694 - Diefenbaugh; Paul S. ;   et al.
2014-07-03
Wear-out Equalization Techniques For Multiple Functional Units
App 20140181596 - Rusu; Stefan ;   et al.
2014-06-26
Controlling Configurable Peak Performance Limits Of A Processor
App 20140181538 - Shrall; Jeremy J. ;   et al.
2014-06-26
Controlling Configurable Peak Performance Limits Of A Processor
App 20140176581 - SHRALL; JEREMY J. ;   et al.
2014-06-26
Performing Frequency Coordination In A Multiprocessor System Based On Response Timing Optimization
App 20140173248 - Varma; Ankush ;   et al.
2014-06-19
Performing Frequency Coordination In A Multiprocessor System
App 20140173297 - Varma; Ankush ;   et al.
2014-06-19
Method And Apparatus For Setting An I/o Bandwidth-based Processor Frequency Floor
App 20140129858 - Varma; Ankush ;   et al.
2014-05-08
Virtualized Communication Sockets For Multi-flow Access To Message Channel Infrastructure Within Cpu
App 20140101355 - Borkowski; Daniel G. ;   et al.
2014-04-10
Mechanism To Provide Workload And Configuration-aware Deterministic Performance For Microprocessors
App 20140006761 - Varma; Ankush ;   et al.
2014-01-02
Utilization-aware Low-overhead Link-width Modulation For Power Reduction In Interconnects
App 20140006673 - Varma; Ankush ;   et al.
2014-01-02
Dynamic Power Limit Sharing In A Platform
App 20130332753 - Varma; Ankush ;   et al.
2013-12-12
Collaborative Processor And System Performance And Power Management
App 20130275796 - Therien; Guy M. ;   et al.
2013-10-17
Collaborative Processor And System Performance And Power Management
App 20130275737 - Therien; Guy M. ;   et al.
2013-10-17
User Level Control Of Power Management Policies
App 20130179706 - Sistla; Krishnakanth V. ;   et al.
2013-07-11
Cache coherency sequencing implementation and adaptive LLC access priority control for CMP
Grant 8,407,432 - Cai , et al. March 26, 2
2013-03-26
User Level Control Of Power Management Policies
App 20120204042 - Sistla; Krishnakanth V. ;   et al.
2012-08-09
Method, Apparatus, And System For Energy Efficiency And Energy Conservation Including Dynamic Control Of Energy Consumption In Power Domains
App 20120185706 - Sistla; Krishnakanth V. ;   et al.
2012-07-19
Dynamically Modifying A Power/Performance Tradeoff Based On Processor Utilization
App 20120144217 - Sistla; Krishnakanth V. ;   et al.
2012-06-07
Efficient usage of last level caches in a MCMP system using application level configuration
Grant 7,991,966 - Sistla August 2, 2
2011-08-02
Preventing system snoop and cross-snoop conflicts
Grant 7,689,778 - Liu , et al. March 30, 2
2010-03-30
Monitor implementation in a multicore processor with inclusive LLC
Grant 7,590,805 - Sistla , et al. September 15, 2
2009-09-15
Home node aware replacement policy for caches in a multiprocessor system
Grant 7,502,889 - Sistla March 10, 2
2009-03-10
Enforcing global ordering through a caching bridge in a multicore multiprocessor system
Grant 7,360,008 - Sistla , et al. April 15, 2
2008-04-15
Monitor implementation in a multicore processor with inclusive LLC
App 20070156971 - Sistla; Krishnakanth V. ;   et al.
2007-07-05
Home node aware replacement policy for caches in a multiprocessor system
App 20070156964 - Sistla; Krishnakanth V.
2007-07-05
Credit mechanism for multiple banks of shared cache
App 20070136531 - Liu; Yen-Cheng ;   et al.
2007-06-14
Cache coherency sequencing implementation and adaptive LLC access priority control for CMP
App 20070005909 - Cai; Zhong-Ning ;   et al.
2007-01-04
Processing multicore evictions in a CMP multiprocessor
App 20070005899 - Sistla; Krishnakanth V. ;   et al.
2007-01-04
Method and apparatus for improving snooping performance in a multi-core multi-processor
App 20060282622 - Sistla; Krishnakanth V. ;   et al.
2006-12-14
Enforcing global ordering through a caching bridge in a multicore multiprocessor system
App 20060149885 - Sistla; Krishnakanth V. ;   et al.
2006-07-06
Efficient usage of last level caches in a MCMP system using application level configuration
App 20060143408 - Sistla; Krishnakanth V.
2006-06-29
Preventing system snoop and cross-snoop conflicts
App 20060117148 - Liu; Yen-Cheng ;   et al.
2006-06-01
Resolving multi-core shared cache access conflicts
App 20060053257 - Sistla; Krishnakanth V. ;   et al.
2006-03-09
Cache filtering using core indicators
App 20060053258 - Liu; Yen-Cheng ;   et al.
2006-03-09

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed