loadpatents
name:-0.13565707206726
name:-0.13596796989441
name:-0.1792778968811
Shanghai Zhaoxin Semiconductor Co., Ltd. Patent Filings

Shanghai Zhaoxin Semiconductor Co., Ltd.

Patent Applications and Registrations

Patent applications and USPTO patent grants for Shanghai Zhaoxin Semiconductor Co., Ltd..The latest application filed is for "instruction conversion device, instruction conversion method, instruction conversion system, and processor".

Company Profile
194.200.180
  • Shanghai Zhaoxin Semiconductor Co., Ltd. - Shanghai CN
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Output stage circuit
Grant 11,451,197 - Li , et al. September 20, 2
2022-09-20
Simulation method and simulation system
Grant 11,442,757 - Wang , et al. September 13, 2
2022-09-13
Voltage regulator generating an output voltage according to a coupled load unit
Grant 11,437,908 - Li , et al. September 6, 2
2022-09-06
Instruction execution method and instruction execution device
Grant 11,416,255 - Zou , et al. August 16, 2
2022-08-16
Graphics processing unit
Grant 11,409,523 - Zhang , et al. August 9, 2
2022-08-09
Prefetcher, operating method of prefetcher, and processor operated based on updated offset amount
Grant 11,403,225 - Zheng , et al. August 2, 2
2022-08-02
Microprocessor with multi-step ahead branch predictor and having a fetch-target queue between the branch predictor and instruction cache
Grant 11,403,103 - Gong , et al. August 2, 2
2022-08-02
Data processing device and data processing method
Grant 11,398,899 - Xue , et al. July 26, 2
2022-07-26
Multi-chip system and data transmission method thereof
Grant 11,388,103 - Shi , et al. July 12, 2
2022-07-12
Microprocessor with multistep-ahead branch predictor
Grant 11,379,243 - Gong , et al. July 5, 2
2022-07-05
Method And System For Executing New Instructions
App 20220206811 - WANG; Weilin ;   et al.
2022-06-30
Instruction Conversion Device, Instruction Conversion Method, Instruction Conversion System, And Processor
App 20220206807 - Wang; Weilin ;   et al.
2022-06-30
System For Executing New Instructions And Method For Executing New Instructions
App 20220206815 - WANG; Weilin ;   et al.
2022-06-30
Method And System For Executing New Instructions
App 20220206813 - WANG; Weilin ;   et al.
2022-06-30
System For Executing New Instructions And Method For Executing New Instructions
App 20220206794 - WANG; Weilin ;   et al.
2022-06-30
Method And System For Converting Instructions
App 20220206812 - WANG; Weilin ;   et al.
2022-06-30
System For Executing New Instructions And Method For Executing New Instructions
App 20220206810 - WANG; Weilin ;   et al.
2022-06-30
Instruction Simulation Device And Method Thereof
App 20220206806 - Wang; Weilin ;   et al.
2022-06-30
Method And System For Executing New Instructions
App 20220206808 - WANG; Weilin ;   et al.
2022-06-30
Method And System For Executing New Instructions
App 20220206809 - WANG; Weilin ;   et al.
2022-06-30
Microprocessor with instruction fetching failure solution
Grant 11,366,667 - Gong June 21, 2
2022-06-21
Microprocessor with high-efficiency decoding of complex instructions
Grant 11,366,665 - Guan June 21, 2
2022-06-21
Contact arrangement, circuit board, and electronic assembly
Grant 11,362,464 - Chang , et al. June 14, 2
2022-06-14
System-on-chip and acceleration method for system memory accessing
Grant 11,341,062 - Yang , et al. May 24, 2
2022-05-24
Data link layer device and packet encapsulation method thereof
Grant 11,336,593 - Li , et al. May 17, 2
2022-05-17
Electronic Device With Multiple Processors And Synchronization Method Thereof
App 20220137661 - SITU; Jiamin ;   et al.
2022-05-05
Processor And Operating Method Thereof
App 20220137966 - Song; Chenchen ;   et al.
2022-05-05
Multi-chip system and cache processing method
Grant 11,321,233 - Shi , et al. May 3, 2
2022-05-03
Electronic assembly
Grant 11,317,504 - Wei , et al. April 26, 2
2022-04-26
Contact arrangement, circuit board, and electronic assembly
Grant 11,316,305 - Chang , et al. April 26, 2
2022-04-26
Circuit and testing circuit thereof
Grant 11,307,251 - Xing April 19, 2
2022-04-19
Voltage Regulator
App 20220115949 - LI; Guofeng ;   et al.
2022-04-14
Data prefetching auxiliary circuit, data prefetching method, and microprocessor
Grant 11,301,250 - Zheng , et al. April 12, 2
2022-04-12
Processing system for dispatching tasks and memory access method thereof
Grant 11,301,297 - Li , et al. April 12, 2
2022-04-12
Method for designing power network and power network
Grant 11,295,054 - Wang , et al. April 5, 2
2022-04-05
Processing system for managing process and its acceleration method
Grant 11,294,716 - Zhao , et al. April 5, 2
2022-04-05
Circuit And Testing Circuit Thereof
App 20220099740 - XING; Yunhao
2022-03-31
Method For Designing Power Network And Power Network
App 20220100944 - WANG; Long ;   et al.
2022-03-31
Temperature Sensing System
App 20220099500 - LI; Shen ;   et al.
2022-03-31
Core Partition Circuit And Testing Device
App 20220099735 - XING; Yunhao ;   et al.
2022-03-31
Computing device, power consumption prediction method thereof and non-transitory computer-readable storage medium
Grant 11,287,866 - Guo March 29, 2
2022-03-29
Instruction execution method and instruction execution device
Grant 11,281,468 - Zhang , et al. March 22, 2
2022-03-22
Integrated circuit and method of storing probability tables for video decoding
Grant 11,272,190 - Liu , et al. March 8, 2
2022-03-08
Data Link Layer Device And Packet Encapsulation Method Thereof
App 20220070120 - Li; Ranyue ;   et al.
2022-03-03
Hardware accelerators and access methods thereof
Grant 11,263,139 - Hu , et al. March 1, 2
2022-03-01
Processing system with round-robin mechanism and its memory access method
Grant 11,256,633 - Li , et al. February 22, 2
2022-02-22
Contact Arrangement, Circuit Board, And Electronic Assembly
App 20220052489 - Chang; Nai-Shung ;   et al.
2022-02-17
Contact Arrangement, Circuit Board, And Electronic Assembly
App 20220052488 - Chang; Nai-Shung ;   et al.
2022-02-17
Flushing in a microprocessor with multi-step ahead branch predictor and a fetch target queue
Grant 11,249,764 - Gong , et al. February 15, 2
2022-02-15
Neural network unit that interrupts processing core upon condition
Grant 11,226,840 - Henry , et al. January 18, 2
2022-01-18
Convolution Operation Method And Convolution Operation Device
App 20220012587 - KONG; Weiman ;   et al.
2022-01-13
Neural network unit that interrupts processing core upon condition
Grant 11,221,872 - Henry , et al. January 11, 2
2022-01-11
System-on-chip And Acceleration Method For System Memory Accessing
App 20220004505 - YANG; Qunyi ;   et al.
2022-01-06
Graphics Processing Unit
App 20220004385 - ZHANG; Wei ;   et al.
2022-01-06
Multi-die and multi-core computing platform and booting method therefor
Grant 11,216,284 - Liu , et al. January 4, 2
2022-01-04
Time to digital converter
Grant 11,215,953 - Zhou , et al. January 4, 2
2022-01-04
Neural network unit that manages power consumption based on memory accesses per period
Grant 11,216,720 - Henry January 4, 2
2022-01-04
Multi-die and multi-core computing platform and booting method for the same
Grant 11,216,282 - Feng , et al. January 4, 2
2022-01-04
Processing system for scheduling and distributing tasks and its acceleration method
Grant 11,216,304 - Zhao , et al. January 4, 2
2022-01-04
Memory Device And Operation Method Thereof
App 20210407586 - LI; Quansheng
2021-12-30
Integrated Circuit And Method Of Storing Probability Tables For Video Decoding
App 20210400282 - LIU; Shuoshuo ;   et al.
2021-12-23
Microprocessor With High-efficiency Decoding Of Complex Instructions
App 20210389947 - GUAN; Yingbing
2021-12-16
Time To Digital Converter
App 20210389730 - ZHOU; Yongqi ;   et al.
2021-12-16
Multi-chip System And Data Transmission Method Thereof
App 20210385306 - Shi; Yang ;   et al.
2021-12-09
Electronic Assembly
App 20210378095 - Wei; Yu-Chieh ;   et al.
2021-12-02
Host interconnection device and method thereof
Grant 11,188,491 - Wang , et al. November 30, 2
2021-11-30
Microprocessor With Multi-step Ahead Branch Predictor
App 20210318882 - GONG; Fangong ;   et al.
2021-10-14
Low Dropout Voltage Regulator
App 20210318703 - JIN; Yinji
2021-10-14
Output Stage Circuit
App 20210320630 - LI; Shen ;   et al.
2021-10-14
Microprocessor With Instruction Fetching Failure Solution
App 20210318877 - GONG; Fangong
2021-10-14
Microprocessor With Multi-step Ahead Branch Predictor
App 20210318881 - GONG; Fangong ;   et al.
2021-10-14
Microprocessor with Multistep-Ahead Branch Predictor
App 20210311744 - GONG; Fangong ;   et al.
2021-10-07
Oscillator circuit
Grant 11,128,255 - Zhou , et al. September 21, 2
2021-09-21
Methods for enhancing image contrast and related image processing systems thereof
Grant 11,120,532 - Li , et al. September 14, 2
2021-09-14
System and method for accessing redundant array of independent disks
Grant 11,099,739 - Li August 24, 2
2021-08-24
Simulation Method And Simulation System
App 20210247991 - WANG; Junshi ;   et al.
2021-08-12
Network interface controller
Grant 11,082,318 - Hui , et al. August 3, 2
2021-08-03
Method and device for predicting operation parameter of integrated circuit
Grant 11,080,445 - Li , et al. August 3, 2
2021-08-03
Electronic assembly
Grant 11,083,078 - Wei , et al. August 3, 2
2021-08-03
Data compressor and data compression method
Grant 11,070,228 - Li , et al. July 20, 2
2021-07-20
Image fusion method and device for removing ghost artifacts
Grant 11,069,037 - Li July 20, 2
2021-07-20
Artificial Intelligence Integrated Circuit
App 20210209451 - GU; DEMING
2021-07-08
Data Compressor And Datacompression Method
App 20210211140 - LI; Lin ;   et al.
2021-07-08
Instruction Execution Method And Instruction Execution Device
App 20210208893 - ZHANG; Zhi ;   et al.
2021-07-08
Memory device having hardware regulation training
Grant 11,049,536 - Chen , et al. June 29, 2
2021-06-29
Image compression method and image processing system
Grant 11,044,474 - Chen , et al. June 22, 2
2021-06-22
Power network and method for routing power network
Grant 11,037,876 - Lin , et al. June 15, 2
2021-06-15
Neural network unit
Grant 11,029,949 - Henry , et al. June 8, 2
2021-06-08
Sub-pixel rendering method and device
Grant 11,030,937 - Li June 8, 2
2021-06-08
Cache system and operating method thereof
Grant 11,016,892 - Zheng , et al. May 25, 2
2021-05-25
Microprocessor for neural network computing and processing method of macroinstruction
Grant 11,003,445 - Chen , et al. May 11, 2
2021-05-11
SerDes systems and differential comparators
Grant 10,999,055 - Deng , et al. May 4, 2
2021-05-04
Instruction execution method and instruction execution device
Grant 10,990,406 - Zou , et al. April 27, 2
2021-04-27
Image anti-aliasing method and image anti-aliasing device
Grant 10,984,506 - Yang , et al. April 20, 2
2021-04-20
Multi-die And Multi-core Computing Platform And Booting Method For The Same
App 20210109762 - FENG; Qunchao ;   et al.
2021-04-15
Multi-die And Multi-core Computing Platform And Booting Method Therefor
App 20210109766 - LIU; Jinglong ;   et al.
2021-04-15
Receiving circuits and methods for increasing bandwidth
Grant 10,979,256 - Sun April 13, 2
2021-04-13
Cache System And Operating Method Thereof
App 20210096991 - Zheng; Xianpei ;   et al.
2021-04-01
Method And Device For Predicting Operation Parameter Of Integrated Circuit
App 20210096171 - LI; YI ;   et al.
2021-04-01
Prefetcher, Operating Method Of Prefetcher, And Processor
App 20210096995 - Zheng; Xianpei ;   et al.
2021-04-01
Performance Analysis System And Method
App 20210056005 - Li; Lin ;   et al.
2021-02-25
Processing system and heterogeneous processor acceleration method
Grant 10,929,187 - Li , et al. February 23, 2
2021-02-23
Data Prefetching Auxiliary Circuit, Data Prefetching Method, And Microprocessor
App 20210042120 - Zheng; Xianpei ;   et al.
2021-02-11
Computer system with programmable serial presence detection data and memory module control method
Grant 10,915,472 - Liu , et al. February 9, 2
2021-02-09
Output driving system with capacitance compensation
Grant 10,917,084 - Lee February 9, 2
2021-02-09
Computer system, graphics processing unit, and graphics processing method thereof that are capable of switching different rendering modes
Grant 10,915,982 - Wang , et al. February 9, 2
2021-02-09
Image Fusion Method And Device For Removing Ghost Artifacts
App 20210035269 - LI; Yichen
2021-02-04
Memory Device Having Hardware Regulation Training
App 20210027818 - CHEN; CHEN ;   et al.
2021-01-28
Image Anti-aliasing Method And Image Anti-aliasing Device
App 20210012464 - YANG; FEIFEI ;   et al.
2021-01-14
Methods for accelerating compression and apparatuses using the same
Grant 10,891,082 - Wu , et al. January 12, 2
2021-01-12
Computer System With Programmable Serial Presence Detection Data And Memory Module Control Method
App 20210004336 - LIU; JING LONG ;   et al.
2021-01-07
Accelerated compression method and accelerated compression apparatus
Grant 10,879,926 - Li , et al. December 29, 2
2020-12-29
Computing device
Grant 10,877,770 - Liu , et al. December 29, 2
2020-12-29
Ring bus and credit allocation method
Grant 10,880,225 - Li , et al. December 29, 2
2020-12-29
Image processing method and image processing device
Grant 10,880,561 - Zhao , et al. December 29, 2
2020-12-29
Instruction Execution Method And Instruction Execution Device
App 20200401410 - ZOU; Penghao ;   et al.
2020-12-24
Instruction Execution Method And Instruction Execution Device
App 20200394043 - ZOU; PENGHAO ;   et al.
2020-12-17
Multi-chip System And Cache Processing Method
App 20200394138 - Shi; Yang ;   et al.
2020-12-17
Power network having power switch units composing rhombus area
Grant 10,867,918 - Lin , et al. December 15, 2
2020-12-15
Methods for scheduling that determine whether to remove a dependent micro-instruction from a reservation station queue based on determining a cache hit/miss status of a load micro-instruction once a count reaches a predetermined value and an apparatus using the same
Grant 10,860,327 - Fei December 8, 2
2020-12-08
Method and device for encoding and compressing bit stream
Grant 10,862,508 - Zhao , et al. December 8, 2
2020-12-08
Data Processing Device And Data Processing Method
App 20200382289 - XUE; Gangru ;   et al.
2020-12-03
System and method of merging partial write results for resolving renaming size issues
Grant 10,853,080 - Fei , et al. December 1, 2
2020-12-01
Driving systems
Grant 10,848,151 - Deng , et al. November 24, 2
2020-11-24
Image Processing Method And Image Processing Device
App 20200366907 - LI; Kuan ;   et al.
2020-11-19
Image Processing Method And Image Processing Device
App 20200366915 - ZHAO; Yongtao ;   et al.
2020-11-19
Computing Device, Power Consumption Prediction Method Thereof And Non-transitory Computer-readable Storage Medium
App 20200348739 - Guo; Xiaoni
2020-11-05
Sub-pixel Rendering Method And Device
App 20200342804 - LI; XIANG
2020-10-29
Serdes Systems And Differential Comparators
App 20200336289 - DENG; Yulin ;   et al.
2020-10-22
Processing System With Round-robin Mechanism And Its Memory Access Method
App 20200334178 - LI; XIAOYANG ;   et al.
2020-10-22
Processing System For Scheduling And Distributing Tasks And Its Acceleration Method
App 20200334082 - ZHAO; WEI ;   et al.
2020-10-22
Processing System For Managing Process And Its Acceleration Method
App 20200334079 - ZHAO; WEI ;   et al.
2020-10-22
Processing System For Scheduling And Its Memory Access Method
App 20200334176 - LI; XIAOYANG ;   et al.
2020-10-22
Processing System And Heterogeneous Processor Acceleration Method
App 20200334086 - LI; XIAOYANG ;   et al.
2020-10-22
Processing System For Dispatching Tasks And Memory Access Method Thereof
App 20200334087 - LI; XIAOYANG ;   et al.
2020-10-22
Method For Compressing Image And Device Using The Same
App 20200327704 - LIU; Shuoshuo ;   et al.
2020-10-15
Prefetch Apparatus And Method Using Confidence Metric For Processor Cache
App 20200301840 - Reed; Douglas Raye ;   et al.
2020-09-24
Reference voltage generation circuit insensitive to element mismatch
Grant 10,775,828 - Lee September 15, 2
2020-09-15
Instruction translation circuit, processor circuit and executing method thereof
Grant 10,776,116 - Song , et al. September 15, 2
2020-09-15
Microprocessor with booth multiplication
Grant 10,776,108 - Chen , et al. Sept
2020-09-15
Microprocessor with dynamically adjustable bit width for processing data
Grant 10,776,109 - Chen , et al. Sept
2020-09-15
Network interface controller
Grant 10,771,364 - Hui , et al. Sep
2020-09-08
Performance evaluation apparatus and performance evaluation method
Grant 10,754,576 - Yu , et al. A
2020-08-25
Microprocessor with booth multiplication
Grant 10,754,646 - Chen , et al. A
2020-08-25
Microprocessor with circuit for series calculation
Grant 10,754,648 - Chen , et al. A
2020-08-25
Chip packaging method
Grant 10,756,077 - Chang , et al. A
2020-08-25
Image Compression Method And Image Processing System
App 20200267386 - CHEN; RUIYANG ;   et al.
2020-08-20
Load store dependency predictor using separate alias tables for store address instructions and store data instructions
Grant 10,747,542 - Fei A
2020-08-18
Network device for processing various types of requests
Grant 10,735,290 - Wang , et al.
2020-08-04
Accelerated Compression Method And Accelerated Compression Apparatus
App 20200244281 - Li; Lin ;   et al.
2020-07-30
Ring Bus And Credit Allocation Method
App 20200244587 - Li; Ranyue ;   et al.
2020-07-30
Processor with selective data storage (of accelerator) operable as either victim cache data storage or accelerator memory and having victim cache tags in lower level cache wherein evicted cache line is stored in said data storage when said data storage is
Grant 10,725,934 - Henry , et al.
2020-07-28
High-speed Signal Driving Device
App 20200235739 - SI; HONGWEI
2020-07-23
Processor cache with independent pipeline to expedite prefetch request
Grant 10,713,172 - Di , et al.
2020-07-14
Scheduling that determines whether to remove a dependent micro-instruction from a reservation station queue based on determining cache hit/miss status of one ore more load micro-instructions once a count reaches a predetermined value
Grant 10,705,851 - Fei
2020-07-07
Power Network And Method For Routing Power Network
App 20200211963 - LIN; Jerming ;   et al.
2020-07-02
High-speed signal driving device
Grant 10,700,685 - Si
2020-06-30
Accelerated compression method and accelerated compression apparatus
Grant 10,686,467 - Li , et al.
2020-06-16
Methods For Enhancing Image Contrast And Related Image Processing Systems Thereof
App 20200184613 - Li; Fuwen ;   et al.
2020-06-11
Chipset with near-data processing engine
Grant 10,678,717 - Li , et al.
2020-06-09
Computer systems that are able to reduce memory data flow and graphics processing methods thereof
Grant 10,679,318 - Wu , et al.
2020-06-09
Accelerated compression method and accelerated compression apparatus
Grant 10,637,499 - Li , et al.
2020-04-28
Accelerated compression method and accelerated compression apparatus
Grant 10,637,498 - Li , et al.
2020-04-28
Computer system, graphics processing unit, and graphics processing method thereof that are capable of switching different rendering modes
Grant 10,628,911 - Wang , et al.
2020-04-21
Receiving Circuits And Methods For Increasing Bandwidth
App 20200119956 - SUN; ZHAOYANG
2020-04-16
Computer Systems And Graphics Processing Methods Thereof
App 20200118239 - WU; Fengxia ;   et al.
2020-04-16
Memory Integrated Circuit And Pre-fetch Method Thereof
App 20200117462 - Jin; Jie ;   et al.
2020-04-16
Memory Integrated Circuit And Pre-fetch Address Determining Method Thereof
App 20200117460 - Jin; Jie ;   et al.
2020-04-16
Methods and systems for camera calibration
Grant 10,621,752 - Wang , et al.
2020-04-14
Image interpolation methods and related image interpolation devices thereof
Grant 10,614,551 - Yang
2020-04-07
Methods for enhancing image contrast and related image processing systems thereof
Grant 10,607,325 - Li , et al.
2020-03-31
Computer System, Graphics Processing Unit, And Graphics Processing Method Thereof
App 20200082492 - WANG; Ying ;   et al.
2020-03-12
A Computer System, Graphics Processing Unit, And Graphics Processing Method Thereof That Are Capable Of Switching Different Rend
App 20200082493 - WANG; Ying ;   et al.
2020-03-12
Power Network And Method For Routing Power Network
App 20200083166 - LIN; Jerming ;   et al.
2020-03-12
Skew compensation circuit
Grant 10,587,252 - Lee
2020-03-10
System And Method For Accessing Redundant Array Of Independent Disks
App 20200073556 - Li; Yong
2020-03-05
Detection control device
Grant 10,579,565 - Li , et al.
2020-03-03
Graphics processing device and graphics processing method
Grant 10,565,674 - Kong , et al. Feb
2020-02-18
Printed circuit board and semiconductor package structure
Grant 10,568,198 - Chang , et al. Feb
2020-02-18
Printed circuit board and semiconductor package structure
Grant 10,568,199 - Chang , et al. Feb
2020-02-18
Printed circuit board and semiconductor package structure
Grant 10,568,200 - Chang , et al. Feb
2020-02-18
Skew Compensation Circuit
App 20200036369 - LEE; Yeong-Sheng
2020-01-30
Reading circuit and method
Grant 10,522,215 - Li , et al. Dec
2019-12-31
Chipset with near-data processing engine
Grant 10,521,370 - Li , et al. Dec
2019-12-31
Instruction Translation Circuit, Processor Circuit And Executing Method Thereof
App 20190384599 - Song; Chenchen ;   et al.
2019-12-19
Processor circuit and operation method thereof
Grant 10,509,655 - Fei Dec
2019-12-17
Method for controlling set-top box game, remote control system, and handheld electronic apparatus
Grant 10,507,382 - Chen , et al. Dec
2019-12-17
Chip package structure and chip package structure array
Grant 10504847 -
2019-12-10
Memory Apparatus And Command Reordering Method
App 20190369917 - Jin; Jie
2019-12-05
Processor Circuit And Operation Method Thereof
App 20190370000 - Fei; Xiaolong
2019-12-05
Processor Circuit And Operation Method Thereof
App 20190370003 - Fei; Xiaolong
2019-12-05
Computing Device
App 20190370011 - Liu; Jinglong ;   et al.
2019-12-05
Single-ended reading circuit
Grant 10475507 -
2019-11-12
Detection Control Device
App 20190340144 - LI; Tao ;   et al.
2019-11-07
Methods for generating reference voltages and controllers utilizing the same
Grant 10468074 -
2019-11-05
Single-ended Reading Circuit
App 20190333576 - MAN; Liang
2019-10-31
Output Driving System With Capacitance Compensation
App 20190334517 - LEE; Yeong-Sheng
2019-10-31
System and method of reducing processor pipeline stall caused by full load queue
Grant 10437599 -
2019-10-08
Network Interface Controller
App 20190306002 - Hui; Zhiqiang ;   et al.
2019-10-03
Network Interface Controller
App 20190306040 - Hui; Zhiqiang ;   et al.
2019-10-03
Network Device For Processing Various Types Of Requests
App 20190306108 - Wang; Jingyang ;   et al.
2019-10-03
Processing Circuit And Neural Network Computation Method Thereof
App 20190286974 - Li; Xiaoyang ;   et al.
2019-09-19
Performance Evaluation Apparatus And Performance Evaluation Method
App 20190265905 - Yu; Zufa ;   et al.
2019-08-29
Eye pattern generator
Grant 10393808 -
2019-08-27
Direct Memory Access Engine And Method Thereof
App 20190243790 - Li; Xiaoyang ;   et al.
2019-08-08
Methods for rate control in an MB (macroblock) layer and apparatuses using the same
Grant 10375404 -
2019-08-06
Methods For Scheduling Micro-instructions And Apparatus Using The Same
App 20190235876 - FEI; Xiaolong
2019-08-01
Methods For Scheduling Micro-instructions And Apparatus Using The Same
App 20190235875 - FEI; Xiaolong
2019-08-01
Methods For Rate Control In An Mb (macroblock) Layer And Apparatuses Using The Same
App 20190238868 - ZHAO; Yongtao ;   et al.
2019-08-01
Microprocessor With Booth Multiplication
App 20190227769 - CHEN; Jing ;   et al.
2019-07-25
Microprocessor With Booth Multiplication
App 20190227770 - CHEN; Jing ;   et al.
2019-07-25
Microprocessor With Dynamically Adjustable Bit Width For Processing Data
App 20190227799 - CHEN; Jing ;   et al.
2019-07-25
Microprocessor For Neural Network Computing And Processing Method Of Macroinstruction
App 20190227795 - CHEN; Jing ;   et al.
2019-07-25
Micro-processor Circuit And Method Of Performing Neural Network Operation
App 20190213477 - Chen; Jing ;   et al.
2019-07-11
Micro-processor Circuit And Method Of Performing Neural Network Operation
App 20190213478 - Li; Xiaoyang ;   et al.
2019-07-11
Graphics processing method and device
Grant 10346948 -
2019-07-09
Graphics Processing Device And Graphics Processing Method
App 20190206019 - KONG; Weiman ;   et al.
2019-07-04
Microprocessor With Circuit For Series Calculation
App 20190205130 - CHEN; Jing ;   et al.
2019-07-04
Balancing the loadings of accelerators
Grant 10331494 -
2019-06-25
Method For Controlling Set-top Box Game, Remote Control System, And Handheld Electronic Apparatus
App 20190184281 - CHEN; Linfeng ;   et al.
2019-06-20
Storage device with dynamic configuration
Grant 10310761 -
2019-06-04
Communication Controller, Communication Method, And System On A Chip
App 20190163662 - ZHENG; Xianpei ;   et al.
2019-05-30
Communication Controller, Communication Method, And System On A Chip
App 20190163663 - ZHENG; Xianpei ;   et al.
2019-05-30
Chip Package Structure And Chip Package Structure Array
App 20190139898 - Chang; Wen-Yuan ;   et al.
2019-05-09
Chip Packaging Method
App 20190139952 - Chang; Wen-Yuan ;   et al.
2019-05-09
Eye Pattern Generator
App 20190128962 - CHEN; Yang ;   et al.
2019-05-02
Graphics Processing Method And Device
App 20190122328 - KONG; Weiman ;   et al.
2019-04-25
Control methods of sample adaptive offset (SAO) filtering and related image processing systems thereof
Grant 10271049 -
2019-04-23
Control Methods Of Sample Adaptive Offset (sao) Filtering And Related Image Processing Systems Thereof
App 20190104307 - MEI; Ao ;   et al.
2019-04-04
Image Interpolation Methods And Related Image Interpolation Devices Thereof
App 20190096031 - YANG; Feifei
2019-03-28
Storage device with dynamic configuration
App 20190079687 - QI; Zongpu ;   et al.
2019-03-14
Hardware Accelerators And Access Methods Thereof
App 20190073312 - HU; Di ;   et al.
2019-03-07
Reading circuits and methods
Grant 10210916 -
2019-02-19
Low-voltage-drop rectifier circuit
Grant 10211834 -
2019-02-19
Methods For Enhancing Image Contrast And Related Image Processing Systems Thereof
App 20190043176 - Li; Fuwen ;   et al.
2019-02-07
Reading Circuits And Methods
App 20190043542 - LI; Wenxiao ;   et al.
2019-02-07
Balancing The Loadings Of Accelerators
App 20190042327 - QI; Zongpu ;   et al.
2019-02-07
Reading Circuit And Method
App 20190043561 - LI; Wenxiao ;   et al.
2019-02-07
Chipset With Near-data Processing Engine
App 20190004981 - LI; Xiaoyang ;   et al.
2019-01-03
Methods For Accelerating Compression And Apparatuses Using The Same
App 20190004738 - WU; Fangfang ;   et al.
2019-01-03
Chipset With Near-data Processing Engine
App 20190004992 - LI; Xiaoyang ;   et al.
2019-01-03
Methods And Systems For Camera Calibration
App 20190005680 - WANG; Xu ;   et al.
2019-01-03
Methods For Generating Reference Voltages And Controllers Utilizing The Same
App 20190005989 - XU; Minglu ;   et al.
2019-01-03
Printed Circuit Board And Semiconductor Package Structure
App 20180374790 - CHANG; Nai-Shung ;   et al.
2018-12-27
Printed Circuit Board And Semiconductor Package Structure
App 20180374789 - CHANG; Nai-Shung ;   et al.
2018-12-27
Printed Circuit Board And Semiconductor Package Structure
App 20180376582 - CHANG; Nai-Shung ;   et al.
2018-12-27
System And Method Of Merging Partial Write Results For Resolving Renaming Size Issues
App 20180373539 - FEI; Xiaolong ;   et al.
2018-12-27
System And Method Of Reducing Processor Pipeline Stall Caused By Full Load Queue
App 20180307492 - DI; Qianli
2018-10-25
Processor Cache With Independent Pipeline To Expedite Prefetch Request
App 20180307608 - DI; Qianli ;   et al.
2018-10-25
System And Method Of Executing Cache Line Unaligned Load Instructions
App 20180300134 - DI; Qianli
2018-10-18
Neural Network Unit That Interrupts Processing Core Upon Condition
App 20180276034 - HENRY; G. Glenn ;   et al.
2018-09-27
Neural Network Unit That Interrupts Processing Core Upon Condition
App 20180276035 - HENRY; G. Glenn ;   et al.
2018-09-27
Neural Network Unit That Manages Power Consumption Based On Memory Accesses Per Period
App 20180276534 - HENRY; G. Glenn
2018-09-27
Processor With Selective Data Storage Operable As Either Victim Cache Data Storage Or Accelerator Memory And Having Victim Cache Tags In Lower Level Cache
App 20180267898 - HENRY; G. Glenn ;   et al.
2018-09-20
Neural Network Unit
App 20180225116 - HENRY; G. Glenn ;   et al.
2018-08-09

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed