loadpatents
name:-0.12216901779175
name:-0.14932513237
name:-0.085702896118164
Saporito; Anthony Patent Filings

Saporito; Anthony

Patent Applications and Registrations

Patent applications and USPTO patent grants for Saporito; Anthony.The latest application filed is for "hardware mitigation for spectre and meltdown-like attacks".

Company Profile
87.143.140
  • Saporito; Anthony - Highland NY
  • Saporito; Anthony - Poughkeepsie NY
  • Saporito; Anthony - Hyde Park NY
  • Saporito; Anthony - Wappingers Falls NY
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Cache structure using a logical directory
Grant 11,403,222 - Jacobi , et al. August 2, 2
2022-08-02
Temporarily suppressing processing of a restrained storage operand request
Grant 11,366,759 - Giamei , et al. June 21, 2
2022-06-21
Hardware Mitigation For Spectre And Meltdown-like Attacks
App 20220188412 - Borntraeger; Christian ;   et al.
2022-06-16
Instruction scheduling during execution in a processor
Grant 11,256,511 - Lichtenau , et al. February 22, 2
2022-02-22
Dynamic selection of OSC hazard avoidance mechanism
Grant 11,243,774 - Cuffney , et al. February 8, 2
2022-02-08
Heterogeneous Services For Enabling Collaborative Logic Design And Debug In Aspect Oriented Hardware Designing
App 20220012159 - Joseph; Arun ;   et al.
2022-01-13
Skip-over offset branch prediction
Grant 11,182,165 - Bonanno , et al. November 23, 2
2021-11-23
Operating different processor cache levels
Grant 11,182,293 - Friedmann , et al. November 23, 2
2021-11-23
Comparing load instruction address fields to store instruction address fields in a table to delay issuing dependent load instructions
Grant 11,175,923 - Alexander , et al. November 16, 2
2021-11-16
Method and arrangement for saving cache power
Grant 11,169,922 - Jacobi , et al. November 9, 2
2021-11-09
Hierarchical metadata predictor with periodic updates
Grant 11,163,573 - Bonanno , et al. November 2, 2
2021-11-02
Store instruction to store instruction dependency
Grant 11,113,055 - Malley , et al. September 7, 2
2021-09-07
Determining the effectiveness of prefetch instructions
Grant 11,080,052 - Gschwind , et al. August 3, 2
2021-08-03
Reducing Cache Transfer Overhead In A System
App 20210232502 - Zoellin; Christian ;   et al.
2021-07-29
Identifying processor attributes based on detecting a guarded storage event
Grant 11,010,066 - Greiner , et al. May 18, 2
2021-05-18
Reducing cache transfer overhead in a system
Grant 11,010,298 - Zoellin , et al. May 18, 2
2021-05-18
Effectiveness and prioritization of prefetches
Grant 11,010,168 - Gschwind , et al. May 18, 2
2021-05-18
Effectiveness and prioritization of prefetches
Grant 11,003,452 - Gschwind , et al. May 11, 2
2021-05-11
Method and arrangement for saving cache power
Grant 10,997,079 - Jacobi , et al. May 4, 2
2021-05-04
Temporarily Suppressing Processing Of A Restrained Storage Operand Request
App 20210096998 - GIAMEI; Bruce C. ;   et al.
2021-04-01
Cache miss thread balancing
Grant 10,963,380 - Alexander , et al. March 30, 2
2021-03-30
Temporarily suppressing processing of a restrained storage operand request
Grant 10,956,337 - Giamei , et al. March 23, 2
2021-03-23
Making precise operand-store-compare predictions to avoid false dependencies
Grant 10,929,142 - Alexander , et al. February 23, 2
2021-02-23
Guarded storage event handling during transactional execution
Grant 10,929,130 - Greiner , et al. February 23, 2
2021-02-23
Translation Support For A Virtual Cache
App 20210026783 - Helms; Markus ;   et al.
2021-01-28
Cache Structure Using A Logical Directory
App 20210026771 - Jacobi; Christian ;   et al.
2021-01-28
Infinite processor thread balancing
Grant 10,884,754 - Alexander , et al. January 5, 2
2021-01-05
Load Instruction With Timeout
App 20200379760 - Jacobi; Christian ;   et al.
2020-12-03
Instruction Scheduling During Execution In A Processor
App 20200371810 - Lichtenau; Cedric ;   et al.
2020-11-26
Cache structure using a logical directory
Grant 10,831,664 - Jacobi , et al. November 10, 2
2020-11-10
Translation support for a virtual cache
Grant 10,831,674 - Helms , et al. November 10, 2
2020-11-10
Instruction to move data in a right-to-left direction
Grant 10,831,479 - Slegel , et al. November 10, 2
2020-11-10
Generating and verifying hardware instruction traces including memory data contents
Grant 10,824,426 - Bartik , et al. November 3, 2
2020-11-03
Marking to indicate memory used to back address translation structures
Grant 10,802,986 - Bradbury , et al. October 13, 2
2020-10-13
Dynamic Selection Of Osc Hazard Avoidance Mechanism
App 20200301711 - Cuffney; James Raymond ;   et al.
2020-09-24
Making Precise Operand-store-compare Predictions To Avoid False Dependencies
App 20200301710 - Alexander; Gregory William ;   et al.
2020-09-24
Store Instruction To Store Instruction Dependency
App 20200301706 - Malley; Edward ;   et al.
2020-09-24
Instruction To Move Data In A Right-to-left Direction
App 20200264878 - Slegel; Timothy ;   et al.
2020-08-20
Hierarchical Metadata Predictor With Periodic Updates
App 20200257534 - A1
2020-08-13
Method and arrangement for saving cache power
Grant 10,740,240 - Jacobi , et al. A
2020-08-11
Loading and storing controls regulating the operation of a guarded storage facility
Grant 10,732,858 - Greiner , et al.
2020-08-04
Load logical and shift guarded instruction
Grant 10,725,685 - Greiner , et al.
2020-07-28
Cache structure using a logical directory
Grant 10,713,168 - Jacobi , et al.
2020-07-14
Conditional branch to an indirectly specified location
Grant 10,713,048 - Greiner , et al.
2020-07-14
Translation support for a virtual cache
Grant 10,698,836 - Helms , et al.
2020-06-30
Reducing cache transfer overhead in a system
Grant 10,671,532 - Zoellin , et al.
2020-06-02
Skip-over Offset Branch Prediction
App 20200159537 - Bonanno; James ;   et al.
2020-05-21
Spin loop delay instruction
Grant 10,656,950 - Busaba , et al.
2020-05-19
Reducing Cache Transfer Overhead In A System
App 20200151097 - Zoellin; Christian ;   et al.
2020-05-14
Facility for extending exclusive hold of a cache line in private cache
Grant 10,621,090 - Giamei , et al.
2020-04-14
Operating Different Processor Cache Levels
App 20200110702 - Friedmann; Simon H. ;   et al.
2020-04-09
Managing backend resources via frontend steering or stalls
Grant 10,599,431 - Alexander , et al.
2020-03-24
Toggling modal transient memory access state
Grant 10,592,142 - Gschwind , et al.
2020-03-17
Operating different processor cache levels
Grant 10,585,797 - Friedmann , et al.
2020-03-10
Reducing cache transfer overhead in a system
Grant 10,585,800 - Zoellin , et al.
2020-03-10
Infinite Processor Thread Balancing
App 20200073670 - ALEXANDER; GREGORY W. ;   et al.
2020-03-05
Guarded storage event handling during transactional execution
Grant 10,579,377 - Greiner , et al.
2020-03-03
Reducing cache transfer overhead in a system
Grant 10,579,525 - Zoellin , et al.
2020-03-03
Determining The Effectiveness Of Prefetch Instructions
App 20200065253 - Gschwind; Michael K. ;   et al.
2020-02-27
Instruction to query cache residency
Grant 10,572,254 - Greiner , et al. Feb
2020-02-25
Operating different processor cache levels
Grant 10,572,384 - Friedmann , et al. Feb
2020-02-25
Infinite processor thread balancing
Grant 10,558,464 - Alexander , et al. Feb
2020-02-11
Method And Arrangement For Saving Cache Power
App 20200034302 - Jacobi; Christian ;   et al.
2020-01-30
Method And Arrangement For Saving Cache Power
App 20200034301 - Jacobi; Christian ;   et al.
2020-01-30
Method and arrangement for saving cache power
Grant 10,528,472 - Jacobi , et al. J
2020-01-07
Determining the effectiveness of prefetch instructions
Grant 10,521,350 - Gschwind , et al. Dec
2019-12-31
Temporarily suppressing processing of a restrained storage operand request
Grant 10,521,351 - Giamei , et al. Dec
2019-12-31
Temporarily Suppressing Processing Of A Restrained Storage Operand Request
App 20190391922 - GIAMEI; Bruce C. ;   et al.
2019-12-26
Saving/restoring guarded storage controls in a virtualized environment
Grant 10,496,292 - Greiner , et al. De
2019-12-03
Generating and verifying hardware instruction traces including memory data contents
Grant 10,496,405 - Bartik , et al. De
2019-12-03
Run-time instrumentation of guarded storage event processing
Grant 10,496,311 - Greiner , et al. De
2019-12-03
Effectiveness And Prioritization Of Prefetches
App 20190332383 - Gschwind; Michael K. ;   et al.
2019-10-31
Identifying Processor Attributes Based On Detecting A Guarded Storage Event
App 20190324667 - Greiner; Dan F. ;   et al.
2019-10-24
Instruction to query cache residency
Grant 10,452,395 - Greiner , et al. Oc
2019-10-22
Identifying processor attributes based on detecting a guarded storage event
Grant 10,452,288 - Greiner , et al. Oc
2019-10-22
Guarded Storage Event Handling During Transactional Execution
App 20190317768 - Greiner; Dan F. ;   et al.
2019-10-17
Host page management using active guest page table indicators
Grant 10,445,248 - Bradbury , et al. Oc
2019-10-15
Silent mode and resource reassignment in branch prediction logic
Grant 10,437,597 - Bonanno , et al. O
2019-10-08
Effectiveness And Prioritization Of Prefetches
App 20190303157 - Gschwind; Michael K. ;   et al.
2019-10-03
Stream based branch prediction index accelerator with power prediction
Grant 10,430,195 - Bonanno , et al. O
2019-10-01
Age management logic
Grant 10,409,609 - Bonanno , et al. Sept
2019-09-10
Effectiveness and prioritization of prefeteches
Grant 10,379,862 - Gschwind , et al. A
2019-08-13
Method And Arrangement For Saving Cache Power
App 20190243767 - Jacobi; Christian ;   et al.
2019-08-08
Effectiveness and prioritization of prefetches
Grant 10,372,457 - Gschwind , et al.
2019-08-06
Generating And Verifying Hardware Instruction Traces Including Memory Data Contents
App 20190235864 - BARTIK; JANE H. ;   et al.
2019-08-01
Spin loop delay instruction
Grant 10,365,929 - Busaba , et al. July 30, 2
2019-07-30
Suppress unnecessary mapping for scratch register
Grant 10,365,928 - Alexander , et al. July 30, 2
2019-07-30
Cache Miss Thread Balancing
App 20190227932 - Alexander; Gregory W. ;   et al.
2019-07-25
Cache miss thread balancing
Grant 10,353,817 - Alexander , et al. July 16, 2
2019-07-16
Garbage collection facility grouping infrequently accessed data units in designated transient memory area
Grant 10,339,049 - Frazier , et al.
2019-07-02
Generating and verifying hardware instruction traces including memory data contents
Grant 10,331,446 - Bartik , et al.
2019-06-25
Garbage collection facility grouping infrequently accessed data units in designated transient memory area
Grant 10,318,415 - Frazier , et al.
2019-06-11
Code fingerprint-based processor malfunction detection
Grant 10,318,790 - Frazier , et al.
2019-06-11
Simplified processor sparing
Grant 10,303,569 - Alexander , et al.
2019-05-28
Time-slice-instrumentation facility
Grant 10,303,575 - Giamei , et al.
2019-05-28
Fingerprint-initiated trace extraction
Grant 10,282,276 - Bradbury , et al.
2019-05-07
Efficient Management Of Scratch Registers
App 20190129717 - Alexander; Gregory W. ;   et al.
2019-05-02
Spin loop delay instruction
Grant 10,275,254 - Busaba , et al.
2019-04-30
Operating different processor cache levels
Grant 10,268,582 - Friedmann , et al.
2019-04-23
Spin Loop Delay Instruction
App 20190114175 - Busaba; Fadi Y. ;   et al.
2019-04-18
Reducing over-purging of structures associated with address translation using an array of tags
Grant 10,241,924 - Bradbury , et al.
2019-03-26
Method and arrangement for saving cache power
Grant 10,229,061 - Jacobi , et al.
2019-03-12
Dynamic thread sharing in branch prediction structures
Grant 10,185,570 - Bonanno , et al. Ja
2019-01-22
Operating Different Processor Cache Levels
App 20190018769 - Friedmann; Simon H. ;   et al.
2019-01-17
Simplified Processor Sparing
App 20190018744 - ALEXANDER; GREGORY W. ;   et al.
2019-01-17
Operating Different Processor Cache Levels
App 20190018770 - Friedmann; Simon H. ;   et al.
2019-01-17
Operating Different Processor Cache Levels
App 20190018771 - Friedmann; Simon H. ;   et al.
2019-01-17
Method And Arrangement For Saving Cache Power
App 20190018781 - Jacobi; Christian ;   et al.
2019-01-17
Method And Arrangement For Saving Cache Power
App 20190018780 - Jacobi; Christian ;   et al.
2019-01-17
Managing Backend Resources Via Frontend Steering Or Stalls
App 20190018676 - Alexander; Gregory W. ;   et al.
2019-01-17
Host page management using active guest page table indicators
Grant 10,176,111 - Bradbury , et al. J
2019-01-08
Managing a prefetch queue based on priority indications of prefetch requests
Grant 10,169,239 - Greiner , et al. J
2019-01-01
Reducing purging of structures associated with address translation
Grant 10,168,902 - Bradbury , et al. J
2019-01-01
Reducing over-purging of structures associated with address translation
Grant 10,169,243 - Bradbury , et al. J
2019-01-01
Reducing Cache Transfer Overhead In A System
App 20180365149 - Zoellin; Christian ;   et al.
2018-12-20
Reducing Cache Transfer Overhead In A System
App 20180365151 - Zoellin; Christian ;   et al.
2018-12-20
Cache Structure Using A Logical Directory
App 20180365152 - Jacobi; Christian ;   et al.
2018-12-20
Translation Support For A Virtual Cache
App 20180365170 - Helms; Markus ;   et al.
2018-12-20
Cache Structure Using A Logical Directory
App 20180365153 - Jacobi; Christian ;   et al.
2018-12-20
Translation Support For A Virtual Cache
App 20180365172 - Helms; Markus ;   et al.
2018-12-20
Reducing Cache Transfer Overhead In A System
App 20180365150 - Zoellin; Christian ;   et al.
2018-12-20
Garbage Collection Facility Grouping Infrequently Accessed Data Units In Designated Transient Memory Area
App 20180349274 - FRAZIER; Giles R. ;   et al.
2018-12-06
Garbage Collection Facility Grouping Infrequently Accessed Data Units In Designated Transient Memory Area
App 20180349273 - FRAZIER; Giles R. ;   et al.
2018-12-06
Generating And Verifying Hardware Instruction Traces Including Memory Data Contents
App 20180341480 - Bartik; Jane H. ;   et al.
2018-11-29
Generating And Verifying Hardware Instruction Traces Including Memory Data Contents
App 20180341481 - Bartik; Jane H. ;   et al.
2018-11-29
Spin Loop Delay Instruction
App 20180260228 - Busaba; Fadi Y. ;   et al.
2018-09-13
Cache Miss Thread Balancing
App 20180260326 - Alexander; Gregory W. ;   et al.
2018-09-13
Spin Loop Delay Instruction
App 20180260226 - Busaba; Fadi Y. ;   et al.
2018-09-13
Static Operand Store Compare Dependency Checking
App 20180232234 - ALEXANDER; GREGORY W. ;   et al.
2018-08-16
Infinite Processor Thread Balancing
App 20180225119 - Alexander; Gregory W. ;   et al.
2018-08-09
Identifying Processor Attributes Based On Detecting A Guarded Storage Event
App 20180203620 - Greiner; Dan F. ;   et al.
2018-07-19
Saving/restoring Guarded Storage Controls In A Virtualized Environment
App 20180203618 - Greiner; Dan F. ;   et al.
2018-07-19
Load Logical And Shift Guarded Instruction
App 20180203634 - Greiner; Dan F. ;   et al.
2018-07-19
Guarded Storage Event Handling During Transactional Execution
App 20180203697 - Greiner; Dan F. ;   et al.
2018-07-19
Loading And Storing Controls Regulating The Operation Of A Guarded Storage Facility
App 20180203619 - Greiner; Dan F. ;   et al.
2018-07-19
Conditional Branch To An Indirectly Specified Location
App 20180203696 - Greiner; Dan F. ;   et al.
2018-07-19
Run-time Instrumentation Of Guarded Storage Event Processing
App 20180203633 - Greiner; Dan F. ;   et al.
2018-07-19
Selectively blocking branch prediction for a predetermined number of instructions
Grant 10,025,592 - Bonanno , et al. July 17, 2
2018-07-17
Time-slice-instrumentation Facility
App 20180196727 - GIAMEI; Bruce C. ;   et al.
2018-07-12
Facility For Extending Exclusive Hold Of A Cache Line In Private Cache
App 20180196751 - GIAMEI; Bruce C. ;   et al.
2018-07-12
Temporarily Suppressing Processing Of A Restrained Storage Operand Request
App 20180196754 - GIAMEI; Bruce C. ;   et al.
2018-07-12
Selectively blocking branch prediction for a predetermined number of instructions
Grant 10,019,265 - Bonanno , et al. July 10, 2
2018-07-10
Toggling Modal Transient Memory Access State
App 20180095660 - Gschwind; Michael K. ;   et al.
2018-04-05
Selectively Blocking Branch Instruction Prediction
App 20180095763 - Bonanno; James J. ;   et al.
2018-04-05
Selectively Blocking Branch Instruction Prediction
App 20180095762 - Bonanno; James J. ;   et al.
2018-04-05
Effectiveness And Prioritization Of Prefetches
App 20180074826 - GSCHWIND; Michael K. ;   et al.
2018-03-15
Host Page Management Using Active Guest Page Table Indicators
App 20180067868 - Bradbury; Jonathan D. ;   et al.
2018-03-08
Dynamic Thread Sharing In Branch Prediction Structures
App 20180067747 - Bonanno; James J. ;   et al.
2018-03-08
Instruction To Query Cache Residency
App 20180060241 - Greiner; Dan F. ;   et al.
2018-03-01
Checkpoints for a simultaneous multithreading processor
Grant 9,904,554 - Collura , et al. February 27, 2
2018-02-27
Dynamic thread sharing in branch prediction structures
Grant 9,898,299 - Bonanno , et al. February 20, 2
2018-02-20
Selectively blocking branch prediction for a predetermined number of instructions
Grant 9,898,294 - Bonanno , et al. February 20, 2
2018-02-20
Selectively blocking branch prediction for a predetermined number of instructions
Grant 9,891,922 - Bonanno , et al. February 13, 2
2018-02-13
Instruction To Query Cache Residency
App 20180024933 - Greiner; Dan F. ;   et al.
2018-01-25
Determining The Effectiveness Of Prefetch Instructions
App 20180024836 - Gschwind; Michael K. ;   et al.
2018-01-25
Managing A Prefetch Queue Based On Priority Indications Of Prefetch Requests
App 20180024929 - Greiner; Dan F. ;   et al.
2018-01-25
Reducing Over-purging Of Structures Associated With Address Translation Using An Array Of Tags
App 20180018278 - Bradbury; Jonathan D. ;   et al.
2018-01-18
Reducing Purging Of Structures Associated With Address Translation
App 20180018093 - Bradbury; Jonathan D. ;   et al.
2018-01-18
Marking To Indicate Memory Used To Back Address Translation Structures
App 20180018281 - Bradbury; Jonathan D. ;   et al.
2018-01-18
Selective Purging Of Guest Entries Of Structures Associated With Address Translation
App 20180018283 - Borntraeger; Christian ;   et al.
2018-01-18
Host Page Management Using Active Guest Page Table Indicators
App 20180018280 - Bradbury; Jonathan D. ;   et al.
2018-01-18
Reducing Over-purging Of Structures Associated With Address Translation
App 20180018275 - Bradbury; Jonathan D. ;   et al.
2018-01-18
Stream Based Branch Prediction Index Accelerator With Power Prediction
App 20170371671 - Bonanno; James J. ;   et al.
2017-12-28
Effectiveness And Prioritization Of Prefeteches
App 20170371666 - Gschwind; Michael K. ;   et al.
2017-12-28
Dynamic management of virtual memory blocks exempted from cache memory access
Grant 9,836,405 - Bradbury , et al. December 5, 2
2017-12-05
Speculative branch handling for transaction abort
Grant 9,792,124 - Billeci , et al. October 17, 2
2017-10-17
Dynamic cache memory management with translation lookaside buffer protection
Grant 9,727,484 - Bradbury , et al. August 8, 2
2017-08-08
Dynamic Cache Memory Management With Cache Pollution Avoidance
App 20170220479 - Bradbury; Jonathan D. ;   et al.
2017-08-03
Dynamic Cache Memory Management With Translation Lookaside Buffer Protection
App 20170220484 - Bradbury; Jonathan D. ;   et al.
2017-08-03
Dynamic Management Of Virtual Memory Blocks Exempted From Cache Memory Access
App 20170220475 - Bradbury; Jonathan D. ;   et al.
2017-08-03
Silent mode and resource reassignment in branch prediction logic for branch instructions within a millicode routine
Grant 9,720,694 - Bonanno , et al. August 1, 2
2017-08-01
Behavior based code recompilation triggering scheme
Grant 9,715,377 - Bradbury , et al. July 25, 2
2017-07-25
Code Fingerprint-based Processor Malfunction Detection
App 20170193274 - Frazier; Giles R. ;   et al.
2017-07-06
Behavior Based Code Recompilation Triggering Scheme
App 20170192760 - Bradbury; Jonathan D. ;   et al.
2017-07-06
Variable updates of branch prediction states
Grant 9,697,001 - Adiga , et al. July 4, 2
2017-07-04
Variable updates of branch prediction states
Grant 9,690,587 - Adiga , et al. June 27, 2
2017-06-27
Age Management Logic
App 20170168824 - Bonanno; James J. ;   et al.
2017-06-15
Fingerprint-initiated Trace Extraction
App 20170161173 - Bradbury; Jonathan D. ;   et al.
2017-06-08
Checkpoints for a simultaneous multithreading processor
Grant 9,672,045 - Collura , et al. June 6, 2
2017-06-06
Software instructed dynamic branch history pattern adjustment
Grant 9,639,370 - Gschwind , et al. May 2, 2
2017-05-02
Accuracy Of Operand Store Compare Prediction Using Confidence Counter
App 20170109170 - Alexander; Khary J. ;   et al.
2017-04-20
Single-thread cache miss rate estimation
Grant 9,626,293 - Bonanno , et al. April 18, 2
2017-04-18
Single thread cache miss rate estimation
Grant 9,619,385 - Bonanno , et al. April 11, 2
2017-04-11
Accuracy of operand store compare prediction using confidence counter
Grant 9,606,805 - Alexander , et al. March 28, 2
2017-03-28
Accuracy of operand store compare prediction using confidence counter
Grant 9,594,566 - Alexander , et al. March 14, 2
2017-03-14
Silent Mode And Resource Reassignment In Branch Prediction Logic For Branch Instructions Within A Milicode Routine
App 20170068543 - Bonanno; James J. ;   et al.
2017-03-09
Silent Mode And Resource Reassignment In Branch Prediction Logic
App 20170068538 - Bonanno; James J. ;   et al.
2017-03-09
Fingerprint-initiated trace extraction
Grant 9,569,338 - Bradbury , et al. February 14, 2
2017-02-14
Dynamic thread sharing in branch prediction structures
Grant 9,563,430 - Bonanno , et al. February 7, 2
2017-02-07
Variable Updates Of Branch Prediction States
App 20170017493 - Adiga; Narasimha R. ;   et al.
2017-01-19
Automated compiler operation verification
Grant 9,547,484 - Frazier , et al. January 17, 2
2017-01-17
Code fingerprint-based processor malfunction detection
Grant 9,524,205 - Frazier , et al. December 20, 2
2016-12-20
Variable updates of branch prediction states
Grant 9,513,909 - Adiga , et al. December 6, 2
2016-12-06
Speculative Branch Handling For Transaction Abort
App 20160350125 - Billeci; Michael ;   et al.
2016-12-01
Dynamic management of a processor state with transient cache memory
Grant 9,495,306 - Bradbury , et al. November 15, 2
2016-11-15
Scheme for verifying the effects of program optimizations
Grant 9,495,138 - Frazier , et al. November 15, 2
2016-11-15
Accuracy of operand store compare prediction using confidence counter
Grant 9,495,156 - Alexander , et al. November 15, 2
2016-11-15
Fingerprint-based branch prediction
Grant 9,495,157 - Bradbury , et al. November 15, 2
2016-11-15
Speculative branch handling for transaction abort
Grant 9,454,377 - Billeci , et al. September 27, 2
2016-09-27
Restricting processing within a processor to facilitate transaction completion
Grant 9,442,738 - Alexander , et al. September 13, 2
2016-09-13
Restricting processing within a processor to facilitate transaction completion
Grant 9,442,737 - Alexander , et al. September 13, 2
2016-09-13
Single-thread Cache Miss Rate Estimation
App 20160246722 - Bonanno; James J. ;   et al.
2016-08-25
Single-thread Cache Miss Rate Estimation
App 20160246716 - Bonanno; James J. ;   et al.
2016-08-25
Silent mode and resource reassignment in branch prediction logic for branch instructions within a millicode routine
Grant 9,424,044 - Bonanno , et al. August 23, 2
2016-08-23
Speculative Branch Handling For Transaction Abort
App 20160239301 - Billeci; Michael ;   et al.
2016-08-18
Branch Target Buffer Column Predictor
App 20160239309 - Bonanno; James J. ;   et al.
2016-08-18
Branch Target Buffer Column Predictor
App 20160239305 - Bonanno; James J. ;   et al.
2016-08-18
Speculative Branch Handling For Transaction Abort
App 20160239310 - Billeci; Michael ;   et al.
2016-08-18
Dynamic management of memory ranges exempted from cache memory access
Grant 9,396,125 - Bradbury , et al. July 19, 2
2016-07-19
Fingerprint-based code version selection
Grant 9,395,961 - Bradbury , et al. July 19, 2
2016-07-19
Variable Updates Of Branch Prediction States
App 20160188339 - Adiga; Narasimha R. ;   et al.
2016-06-30
Checkpoints For A Simultaneous Multithreading Processor
App 20160092224 - Collura; Adam B. ;   et al.
2016-03-31
Checkpoints For A Simultaneous Multithreading Processor
App 20160092225 - Collura; Adam B. ;   et al.
2016-03-31
Fast index tree for accelerated branch prediction
Grant 9,250,912 - Bonanno , et al. February 2, 2
2016-02-02
Fast index tree for accelerated branch prediction
Grant 9,250,909 - Bonanno , et al. February 2, 2
2016-02-02
Dynamic Thread Sharing In Branch Prediction Structures
App 20150339126 - Bonanno; James J. ;   et al.
2015-11-26
Variable Updates Of Branch Prediction States
App 20150286483 - Adiga; Narasimha R. ;   et al.
2015-10-08
Mitigating instruction prediction latency with independently filtered presence predictors
Grant 9,152,425 - Bonanno , et al. October 6, 2
2015-10-06
Mitigating instruction prediction latency with independently filtered presence predictors
Grant 9,152,424 - Bonanno , et al. October 6, 2
2015-10-06
Dynamic Thread Sharing In Branch Prediction Structures
App 20150268957 - Bonanno; James J. ;   et al.
2015-09-24
Instruction filtering
Grant 9,135,013 - Bonanno , et al. September 15, 2
2015-09-15
Instruction filtering
Grant 9,135,012 - Bonanno , et al. September 15, 2
2015-09-15
System and method for Controlling restarting of instruction fetching using speculative address computations
Grant 9,021,240 - Alexander , et al. April 28, 2
2015-04-28
Cache set selective power up
Grant 8,972,665 - Prasky , et al. March 3, 2
2015-03-03
Mitigating lookahead branch prediction latency by purposely stalling a branch instruction until a delayed branch prediction is received or a timeout occurs
Grant 8,874,885 - Bonanno , et al. October 28, 2
2014-10-28
Mitigating Instruction Prediction Latency With Independently Filtered Presence Predictors
App 20140101418 - Bonanno; James J. ;   et al.
2014-04-10
Instruction Filtering
App 20140082338 - Bonanno; James J. ;   et al.
2014-03-20
Cache Set Selective Power Up
App 20130339596 - Prasky; Brian R. ;   et al.
2013-12-19
Restricting Processing Within A Processor To Facilitate Transaction Completion
App 20130339684 - Alexander; Khary J. ;   et al.
2013-12-19
Mitigating Instruction Prediction Latency With Independently Filtered Presence Predictors
App 20130339692 - Bonanno; James J. ;   et al.
2013-12-19
Instruction Filtering
App 20130339683 - Bonanno; James J. ;   et al.
2013-12-19
Selectively Blocking Branch Instruction Prediction
App 20130339696 - Bonanno; James J. ;   et al.
2013-12-19
Selectively Blocking Branch Instruction Prediction
App 20130339698 - Bonanno; James J. ;   et al.
2013-12-19
Restricting Processing Within A Processor To Facilitate Transaction Completion
App 20130339703 - Alexander; Khary J. ;   et al.
2013-12-19
Fast Index Tree For Accelerated Branch Prediction
App 20130332714 - Bonanno; James J. ;   et al.
2013-12-12
Fast Index Tree For Accelerated Branch Prediction
App 20130332713 - Bonanno; James J. ;   et al.
2013-12-12
Method, system, and computer program product for reducing cache memory pollution
Grant 8,443,176 - Sonnelitter, III , et al. May 14, 2
2013-05-14
System and method for providing asynchronous dynamic millicode entry prediction
Grant 7,913,068 - Bonanno , et al. March 22, 2
2011-03-22
System and method for tracking changes in L1 data cache directory
Grant 7,831,775 - Levenstein , et al. November 9, 2
2010-11-09
Methods, systems, and computer program products for recovering from branch prediction latency
Grant 7,822,954 - Ward, III , et al. October 26, 2
2010-10-26
System and method for the capture and preservation of intermediate error state data
Grant 7,814,374 - Balazich , et al. October 12, 2
2010-10-12
Error accumulation register, error accumulation method, and error accumulation system
Grant 7,805,634 - Balazich , et al. September 28, 2
2010-09-28
Auxiliary mechanism to manage instruction restart and restart coming in a lookahead processor
Grant 7,752,354 - Dooley , et al. July 6, 2
2010-07-06
Method, apparatus and program product for enhancing performance of an in-order processor with long stalls
Grant 7,603,543 - Dooley , et al. October 13, 2
2009-10-13
Method, System And Computer Program Product For Minimizing Branch Prediction Latency
App 20090217017 - Alexander; Khary J. ;   et al.
2009-08-27
Method, System, And Computer Program Product For Reducing Cache Memory Pollution
App 20090217003 - Sonnelitter, III; Robert J. ;   et al.
2009-08-27
System And Method For Controlling Restarting Of Instruction Fetching Using Speculative Address Computations
App 20090217015 - Alexander; Khary J. ;   et al.
2009-08-27
System And Method For Providing Asynchronous Dynamic Millicode Entry Prediction
App 20090217002 - Bonanno; James J. ;   et al.
2009-08-27
Methods, Systems, And Computer Program Products For Recovering From Branch Prediction Latency
App 20090210684 - Ward, III; John W. ;   et al.
2009-08-20
Method, System And Computer Program Product For An Implicit Predicted Return From A Predicted Subroutine
App 20090210661 - Alexander; Khary J. ;   et al.
2009-08-20
Method And System For Mitigating Lookahead Branch Prediction Latency With Branch Presence Prediction At The Time Of Instruction Fetching
App 20090204797 - Bonanno; James J. ;   et al.
2009-08-13
Mechanism in a multi-threaded microprocessor to maintain best case demand instruction redispatch
Grant 7,571,283 - Frommer , et al. August 4, 2
2009-08-04
System and Method for Tracking Changes in L1 Data Cache Directory
App 20080263283 - Levenstein; Sheldon B. ;   et al.
2008-10-23
Mechanism in a Multi-Threaded Microprocessor to Maintain Best Case Demand Instruction Redispatch
App 20080209177 - Frommer; Scott Bruce ;   et al.
2008-08-28
System And Method For The Capture And Preservation Of Intermediate Error State Data
App 20080178048 - Balazich; Douglas ;   et al.
2008-07-24
System and method for tracking changes in L1 data cache directory
Grant 7,401,186 - Levenstein , et al. July 15, 2
2008-07-15
Error accumulation register, error accumulation method, and error accumulation system
App 20080126830 - Balazich; Douglas G. ;   et al.
2008-05-29
Mechanism in a multi-threaded microprocessor to maintain best case demand instruction redispatch
Grant 7,380,062 - Frommer , et al. May 27, 2
2008-05-27
Method, apparatus, and computer program product for sharing data in a cache among threads in an SMT processor
Grant 7,318,127 - Hrusecky , et al. January 8, 2
2008-01-08
Mechanism and apparatus allowing an N-way set associative cache, implementing a hybrid pseudo-LRU replacement algorithm, to have N L1 miss fetch requests simultaneously inflight regardless of their congruence class
Grant 7,284,094 - Hrusecky , et al. October 16, 2
2007-10-16
System and method for providing processor recovery in a multi-core system
Grant 7,111,196 - Balazich , et al. September 19, 2
2006-09-19
Mechanism in a multi-threaded microprocessor to maintain best case demand instruction redispatch
App 20060184739 - Frommer; Scott Bruce ;   et al.
2006-08-17
Lookahead mode sequencer
App 20060184772 - Dooley; Miles Robert ;   et al.
2006-08-17
Auxiliary mechanism to manage instruction restart and restart coming in a lookahead processor
App 20060184822 - Dooley; Miles Robert ;   et al.
2006-08-17
Method, apparatus, and computer program product for sharing data in a cache among threads in an SMT processor
App 20060184741 - Hrusecky; David Allen ;   et al.
2006-08-17
Mechanism and apparatus allowing an N-way set associative cache, implementing a hybrid pseudo-LRU replacement algorithm, to have N L1 miss fetch requests simultaneously inflight regardless of their congruence class
App 20060179227 - Hrusecky; David Allen ;   et al.
2006-08-10
System and method for tracking changes in L1 data cache directory
App 20060179221 - Levenstein; Sheldon B. ;   et al.
2006-08-10
System and method for providing processor recovery in a multi-core system
App 20040230865 - Balazich, Douglas G. ;   et al.
2004-11-18

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed