loadpatents
name:-0.093672037124634
name:-0.093946933746338
name:-0.0088100433349609
Ronen; Ronny Patent Filings

Ronen; Ronny

Patent Applications and Registrations

Patent applications and USPTO patent grants for Ronen; Ronny.The latest application filed is for "aggregated page fault signaling and handling".

Company Profile
9.94.91
  • Ronen; Ronny - Haifa IL
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Aggregated page fault signaling and handling
Grant 11,275,637 - Ginzburg , et al. March 15, 2
2022-03-15
Mechanism for saving and retrieving micro-architecture context
Grant 11,243,768 - Rotem , et al. February 8, 2
2022-02-08
Aggregated Page Fault Signaling And Handling
App 20200379835 - Ginzburg; Boris ;   et al.
2020-12-03
Mechanism For Issuing Requests To An Accelerator From Multiple Threads
App 20200218568 - Ronen; Ronny ;   et al.
2020-07-09
Mechanism for issuing requests to an accelerator from multiple threads
Grant 10,558,490 - Ronen , et al. Feb
2020-02-11
Apparatus and method for accelerating operations in a processor which uses shared virtual memory
Grant 10,467,012 - Weissmann , et al. No
2019-11-05
Aggregated Page Fault Signaling And Handling
App 20190205200 - Ginzburg; Boris ;   et al.
2019-07-04
Mechanism For Saving And Retrieving Micro-architecture Context
App 20190155606 - Rotem; Efraim ;   et al.
2019-05-23
Aggregated page fault signaling and handling
Grant 10,255,126 - Ginzburg , et al.
2019-04-09
Mechanism for saving and retrieving micro-architecture context
Grant 10,191,742 - Rotem , et al. Ja
2019-01-29
Migrating tasks between asymmetric computing elements of a multi-core processor
Grant 10,185,566 - Naveh , et al. Ja
2019-01-22
Context switching mechanism for a processor having a general purpose core and a tightly coupled accelerator
Grant 10,120,691 - Ginzburg , et al. November 6, 2
2018-11-06
Apparatus and method for accelerating operations in a processor which uses shared virtual memory
Grant 10,078,519 - Weissmann , et al. September 18, 2
2018-09-18
Aggregated Page Fault Signaling And Handling
App 20180181458 - Ginzburg; Boris ;   et al.
2018-06-28
Apparatus and method for accelerating operations in a processor which uses shared virtual memory
Grant 9,971,688 - Weissmann , et al. May 15, 2
2018-05-15
Aggregated page fault signaling and handline
Grant 9,891,980 - Ginzburg , et al. February 13, 2
2018-02-13
Dynamic pinning of virtual pages shared between different type processors of a heterogeneous computing platform
Grant 9,747,221 - Sheaffer , et al. August 29, 2
2017-08-29
Providing an asymmetric multicore processor system transparently to an operating system
Grant 9,720,730 - Ginzburg , et al. August 1, 2
2017-08-01
Apparatus And Method For Accelerating Operations In A Processor Which Uses Shared Virtual Memory
App 20170153984 - WEISSMANN; ELIEZER ;   et al.
2017-06-01
Apparatus And Method For Accelerating Operations In A Processor Which Uses Shared Virtual Memory
App 20170109281 - WEISSMANN; ELIEZER ;   et al.
2017-04-20
Apparatus And Method For Accelerating Operations In A Processor Which Uses Shared Virtual Memory
App 20170109294 - WEISSMANN; ELIEZER ;   et al.
2017-04-20
Apparatus And Method For Accelerating Operations In A Processor Which Uses Shared Virtual Memory
App 20160335090 - WEISSMANN; ELIEZER ;   et al.
2016-11-17
Context Switching Mechanism For A Processor Having A General Purpose Core And A Tightly Coupled Accelerator
App 20160328234 - GINZBURG; BORIS ;   et al.
2016-11-10
Apparatus and method for accelerating operations in a processor which uses shared virtual memory
Grant 9,405,701 - Weissmann , et al. August 2, 2
2016-08-02
Context switching mechanism for a processing core having a general purpose CPU core and a tightly coupled accelerator
Grant 9,396,020 - Ginzburg , et al. July 19, 2
2016-07-19
Dynamic Pinning Of Virtual Pages Shared Between Different Type Processors Of A Heterogeneous Computing Platform
App 20160154742 - Sheaffer; Gad ;   et al.
2016-06-02
Core switching acceleration in asymmetric multiprocessor system
Grant 9,348,594 - Yamada , et al. May 24, 2
2016-05-24
Dynamic pinning of virtual pages shared between different type processors of a heterogeneous computing platform
Grant 9,164,923 - Sheaffer , et al. October 20, 2
2015-10-20
Translation lookaside buffer for multiple context compute engine
Grant 9,152,572 - Ronen , et al. October 6, 2
2015-10-06
Synchronous software interface for an accelerated compute engine
Grant 9,053,022 - Ronen , et al. June 9, 2
2015-06-09
Meta predictor restoration upon detecting misprediction
Grant 8,943,298 - Jourdan , et al. January 27, 2
2015-01-27
Mechanism For Issuing Requests To An Accelerator From Multiple Threads
App 20140359629 - Ronen; Ronny ;   et al.
2014-12-04
Context Switching Mechanism For A Processing Core Having A General Purpose Cpu Core And A Tightly Coupled Accelerator
App 20140344815 - Ginzburg; Boris ;   et al.
2014-11-20
Mechanism For Saving And Retrieving Micro-architecture Context
App 20140325184 - Rotem; Efraim ;   et al.
2014-10-30
Compiler-directed sign/zero extension of a first bit size result to overwrite incorrect data before subsequent processing involving the result within an architecture supporting larger second bit size values
Grant 8,874,882 - Ronen , et al. October 28, 2
2014-10-28
Aggregated Page Fault Signaling And Handline
App 20140304559 - Ginzburg; Boris ;   et al.
2014-10-09
Migrating Tasks Between Asymmetric Computing Elements Of A Multi-core Processor
App 20140129808 - Naveh; Alon ;   et al.
2014-05-08
Providing An Asymmetric Multicore Processor System Transparently To An Operating System
App 20140082630 - Ginzburg; Boris ;   et al.
2014-03-20
Meta Predictor Restoration Upon Detecting Misprediction
App 20140052972 - Jourdan; Stephan ;   et al.
2014-02-20
Binary Translation In Asymmetric Multiprocessor System
App 20140019723 - Yamada; Koichi ;   et al.
2014-01-16
Apparatus And Method For Accelerating Operations In A Processor Which Uses Shared Virtual Memory
App 20130318323 - Weissmann; Eliezer ;   et al.
2013-11-28
Meta predictor restoration upon detecting misprediction
Grant 8,572,358 - Ronen , et al. October 29, 2
2013-10-29
Synchronous Software Interface For An Accelerated Compute Engine
App 20130268804 - Ronen; Ronny ;   et al.
2013-10-10
Core Switching Acceleration In Asymmetric Multiprocessor System
App 20130268742 - Yamada; Koichi ;   et al.
2013-10-10
Translation Lookaside Buffer For Multiple Context Compute Engine
App 20130262816 - Ronen; Ronny ;   et al.
2013-10-03
Power Management For Multiple Processor Cores
App 20130219196 - Finkelstein; Lev ;   et al.
2013-08-22
Power management for multiple processor cores
Grant 8,402,290 - Finkelstein , et al. March 19, 2
2013-03-19
Meta Predictor Restoration Upon Detecting Misprediction
App 20130036297 - Jourdan; Stephan ;   et al.
2013-02-07
Dynamic Pinning Of Virtual Pages Shared Between Different Type Processors Of A Heterogeneous Computing Platform
App 20130007406 - Sheaffer; Gad ;   et al.
2013-01-03
Method and apparatus for predicting branches using a meta predictor
Grant 8,285,976 - Jourdan , et al. October 9, 2
2012-10-09
Page Fault Handling Mechanism
App 20120236010 - Ginzburg; Boris ;   et al.
2012-09-20
Implementing TLB Synchronization for Systems with Shared Virtual Memory Between Processing Devices
App 20120233439 - Ginzburg; Boris ;   et al.
2012-09-13
Method for optimizing voltage-frequency setup in multi-core processor systems
Grant 8,245,070 - Finkelstein , et al. August 14, 2
2012-08-14
Dynamically managing thermal levels in a processing system
Grant 7,934,110 - Finkelstein , et al. April 26, 2
2011-04-26
Method and apparatus to vectorize multiple input instructions
Grant 7,802,076 - Almog , et al. September 21, 2
2010-09-21
Trace indexing via trace end addresses
Grant 7,802,077 - Jourdan , et al. September 21, 2
2010-09-21
Instruction segment recording scheme
Grant 7,757,065 - Jourdan , et al. July 13, 2
2010-07-13
Method for optimizing voltage-frequency setup in multi-core processor systems
App 20100169609 - Finkelstein; Lev ;   et al.
2010-07-01
Power Management For Multiple Processor Cores
App 20100115304 - Finkelstein; Lev ;   et al.
2010-05-06
Selectively protecting a register file
Grant 7,689,804 - Vera , et al. March 30, 2
2010-03-30
Power reduction for processor front-end by caching decoded instructions
Grant 7,653,786 - Solomon , et al. January 26, 2
2010-01-26
Memory cache bank prediction
Grant 7,644,236 - Yoaz , et al. January 5, 2
2010-01-05
Methods and apparatus for optimal voltage and frequency control of thermally limited systems
Grant 7,586,281 - Cohen , et al. September 8, 2
2009-09-08
Enhanced virtual renaming scheme and deadlock prevention therefor
Grant 7,539,850 - Jourdan , et al. May 26, 2
2009-05-26
Dynamically Managing Thermal Levels In A Processing System
App 20090083551 - Finkelstein; Lev ;   et al.
2009-03-26
Combining power prediction and optimal control approaches for performance optimization in thermally limited designs
Grant 7,464,278 - Cohen , et al. December 9, 2
2008-12-09
System and method for fusing instructions
Grant 7,458,069 - Ronen , et al. November 25, 2
2008-11-25
Method and apparatus for varying energy per instruction according to the amount of available parallelism
Grant 7,437,581 - Grochowski , et al. October 14, 2
2008-10-14
Method and apparatus for predicting values in a processor having a plurality of prediction modes
Grant 7,428,627 - Jourdan , et al. September 23, 2
2008-09-23
Selectively protecting a register file
App 20080155375 - Vera; Xavier ;   et al.
2008-06-26
Method and system for safe data dependency collapsing based on control-flow speculation
Grant 7,284,116 - Jourdan , et al. October 16, 2
2007-10-16
Trace cache filtering
Grant 7,260,684 - Mendelson , et al. August 21, 2
2007-08-21
Combining power prediction and optimal control approaches for performance optimization in thermally limited designs
App 20070061021 - Cohen; Aviad ;   et al.
2007-03-15
Power reduction for processor front-end by caching decoded instructions
App 20070050554 - Solomon; Baruch ;   et al.
2007-03-01
Method and apparatus for executing a 32-bit application by confining the application to a 32-bit address space subset in a 64-bit processor
Grant 7,171,543 - Ronen , et al. January 30, 2
2007-01-30
Low-power processor hint, such as from a pause instruction
Grant 7,159,133 - Orenstien , et al. January 2, 2
2007-01-02
Method and apparatus for a register renaming structure
Grant 7,155,599 - Jourdan , et al. December 26, 2
2006-12-26
Methods And Apparatus For Optimal Voltage And Frequency Control Of Thermally Limited Systems
App 20060273753 - Cohen; Aviad ;   et al.
2006-12-07
Methods and apparatus for optimal voltage and frequency control of thermally limited systems
Grant 7,141,953 - Cohen , et al. November 28, 2
2006-11-28
Power reduction for processor front-end by caching decoded instructions
Grant 7,130,966 - Solomon , et al. October 31, 2
2006-10-31
Deterministic power-estimation for thermal control
Grant 7,096,145 - Orenstien , et al. August 22, 2
2006-08-22
Prediction of issued silent store operations for allowing subsequently issued loads to bypass unexecuted silent stores and confirming the bypass upon execution of the stores
Grant 7,062,638 - Yoaz , et al. June 13, 2
2006-06-13
Filtering basic instruction segments in a processor front-end for power conservation
Grant 7,062,607 - Solomon , et al. June 13, 2
2006-06-13
Distribution of processing activity in a multiple core microprocessor
Grant 7,043,405 - Orenstien , et al. May 9, 2
2006-05-09
Method and apparatus for varying energy per instruction according to the amount of available parallelism
App 20060095807 - Grochowski; Edward ;   et al.
2006-05-04
System and method of reducing the number of copies from alias registers to real registers in the commitment of instructions
Grant 7,024,542 - Savransky , et al. April 4, 2
2006-04-04
Generating lookahead tracked register value based on arithmetic operation indication
Grant 7,017,026 - Yoaz , et al. March 21, 2
2006-03-21
Power reduction for processor front-end by caching decoded instructions
App 20060053245 - Solomon; Baruch ;   et al.
2006-03-09
Method and apparatus to vectorize multiple input instructions
App 20050289529 - Almog, Yoav ;   et al.
2005-12-29
Method and system for branch target prediction using path information
App 20050262332 - Rappoport, Lihu ;   et al.
2005-11-24
Power reduction for processor front-end by caching decoded instructions
Grant 6,950,903 - Solomon , et al. September 27, 2
2005-09-27
Apparatus, method and system for fast register renaming using virtual renaming, including by using rename information or a renamed register
Grant 6,950,928 - Ronen , et al. September 27, 2
2005-09-27
System and method of reducing the number of copies from alias registers to real registers in the commitment of instructions
Grant 6,910,121 - Savransky , et al. June 21, 2
2005-06-21
Memory cache bank prediction
App 20050132138 - Yoaz, Adi ;   et al.
2005-06-16
Methods and apparatus for optimal voltage and frequency control of thermally limited systems
App 20050088137 - Cohen, Aviad ;   et al.
2005-04-28
Memory cache bank prediction
Grant 6,880,063 - Yoaz , et al. April 12, 2
2005-04-12
Distribution of processing activity in a multiple core microprocessor
App 20050050373 - Orenstien, Doron ;   et al.
2005-03-03
System, apparatus and method for prioritizing instructions and eliminating useless instructions
Grant 6,857,060 - Elias , et al. February 15, 2
2005-02-15
Register value tracker
App 20040215934 - Yoaz, Adi ;   et al.
2004-10-28
Distribution of processing activity across processing hardware based on power consumption considerations
Grant 6,804,632 - Orenstien , et al. October 12, 2
2004-10-12
Method and apparatus for optimizing load memory accesses
Grant 6,772,317 - Jourdan , et al. August 3, 2
2004-08-03
Low-power processor hint, such as from a pause instruction
App 20040148534 - Orenstien, Doron ;   et al.
2004-07-29
Memory cache bank prediction
App 20040143705 - Yoaz, Adi ;   et al.
2004-07-22
System and method for fusing instructions
App 20040139429 - Ronen, Ronny ;   et al.
2004-07-15
Fast branch misprediction recovery method and system
Grant 6,757,816 - Yoaz , et al. June 29, 2
2004-06-29
Lookahead register value tracking
Grant 6,742,112 - Yoaz , et al. May 25, 2
2004-05-25
Method and apparatus for fast, speculative floating point register renaming
Grant 6,697,933 - Pribush , et al. February 24, 2
2004-02-24
System and method for early resolution of low confidence branches and safe data cache accesses
Grant 6,697,932 - Yoaz , et al. February 24, 2
2004-02-24
Cache memory bank access prediction
Grant 6,694,421 - Yoaz , et al. February 17, 2
2004-02-17
Low-power processor hint, such as from a PAUSE instruction
Grant 6,687,838 - Orenstien , et al. February 3, 2
2004-02-03
Method and apparatus for optimizing load memory accesses
App 20040015904 - Jourdan, Stephan J. ;   et al.
2004-01-22
Method for optimized representation of page table entries
Grant 6,678,816 - Ronen , et al. January 13, 2
2004-01-13
Memory record update filtering
Grant 6,678,808 - Jourdan , et al. January 13, 2
2004-01-13
System and method for fusing instructions
Grant 6,675,376 - Ronen , et al. January 6, 2
2004-01-06
Method and apparatus for executing low power validations for high confidence speculations
App 20040003215 - Krimer, Evgeni ;   et al.
2004-01-01
Method for optimized representation of page table entries
Grant 6,647,482 - Ronen , et al. November 11, 2
2003-11-11
Method For Optimized Representation Of Page Table Entries
App 20030196065 - Ronen, Ronny ;   et al.
2003-10-16
Cache structure for storing variable length data
Grant 6,631,445 - Rappoport , et al. October 7, 2
2003-10-07
Unified renaming scheme for load and store instructions
Grant 6,625,723 - Jourday , et al. September 23, 2
2003-09-23
Controlling population size of confidence assignments
Grant 6,625,744 - Rappoport , et al. September 23, 2
2003-09-23
Method and system for safe data dependency collapsing based on control-flow speculation
App 20030154362 - Jourdan, Stephan J. ;   et al.
2003-08-14
Method and system for branch target prediction using path information
Grant 6,601,161 - Rappoport , et al. July 29, 2
2003-07-29
Hot way caches: an energy saving technique for high performance caches
Grant 6,601,155 - Krimer , et al. July 29, 2
2003-07-29
Memory record update filtering
App 20030140203 - Jourdan, Stephan J. ;   et al.
2003-07-24
Enhanced virtual renaming scheme and deadlock prevention therefor
App 20030135715 - Jourdan, Stephan J. ;   et al.
2003-07-17
Mapping destination logical register to physical register storing immediate or renamed source register of move instruction and using mapping counters
Grant 6,594,754 - Jourdan , et al. July 15, 2
2003-07-15
Cache structure for storing variable length data
App 20030131183 - Rappoport, Lihu ;   et al.
2003-07-10
System and method of reducing the number of copies from alias registers to real registers in the commitment of instructions
App 20030126410 - Savransky, Guillermo ;   et al.
2003-07-03
Deterministic power-estimation for thermal control
App 20030125900 - Orenstien, Doron ;   et al.
2003-07-03
System and method of reducing the number of copies from alias registers to real registers in the commitment of instructions
App 20030126411 - Savransky, Guillermo ;   et al.
2003-07-03
Distribution of processing activity across processing hardware based on power consumption considerations
App 20030110012 - Orenstien, Doron ;   et al.
2003-06-12
Enhanced virtual renaming scheme and deadlock prevention therefor
Grant 6,553,483 - Jourdan , et al. April 22, 2
2003-04-22
Filtering basic instruction segments in a processor front-end for power conservation
App 20030061469 - Solomon, Baruch ;   et al.
2003-03-27
Memory Cache Bank Prediction
App 20030051099 - YOAZ, ADI ;   et al.
2003-03-13
Method And System For Branch Target Prediction Using Path Information
App 20030041230 - RAPPOPORT, LIHU ;   et al.
2003-02-27
Method and system for safe data dependency collapsing based on control-flow speculation
Grant 6,516,405 - Jourdan , et al. February 4, 2
2003-02-04
Hot way caches: an energy saving technique for high performance caches
App 20030014594 - Krimer, Evgeni ;   et al.
2003-01-16
Power reduction for processor front-end by caching decoded instructions
App 20030009620 - Solomon, Baruch ;   et al.
2003-01-09
Lowering priority and elimination scheme for useless instructions
App 20020178346 - Elias, George ;   et al.
2002-11-28
Speculative branch target allocation
App 20020166042 - Almog, Yoav ;   et al.
2002-11-07
Apparatus, method and system for fast register renaming using virtual renaming
App 20020144090 - Ronen, Ronny ;   et al.
2002-10-03
Memory record update filtering
App 20020143799 - Jourdan, Stephan J. ;   et al.
2002-10-03
Using "silent store" information to advance loads
App 20020124156 - Yoaz, Adi ;   et al.
2002-09-05
Correlated address prediction
Grant 6,438,673 - Jourdan , et al. August 20, 2
2002-08-20
Trace cache filtering
App 20020095553 - Mendelson, Abraham ;   et al.
2002-07-18
Method and apparatus for predicting branches using a meta predictor
App 20020087852 - Jourdan, Stephan J. ;   et al.
2002-07-04
Multi-mode non-binary predictor
App 20020087850 - Jourdan, Stephan J. ;   et al.
2002-07-04
Method and processor for recovering registers for register renaming structure
App 20020087836 - Jourdan, Stephan J. ;   et al.
2002-07-04
System and Method for fusing instructions
App 20020087955 - Ronen, Ronny ;   et al.
2002-07-04
Low-power processor hint, such as from a PAUSE instruction
App 20020083353 - Orenstein, Doron ;   et al.
2002-06-27
Method and apparatus for cache line prediction and prefetching using a prefetch controller and buffer and access history
Grant 6,134,643 - Kedem , et al. October 17, 2
2000-10-17
Method and apparatus for predicting when load instructions can be executed out-of order
Grant 5,987,595 - Yoaz , et al. November 16, 1
1999-11-16
Method and apparatus for providing a re-ordered instruction cache in a pipelined microprocessor
Grant 5,790,822 - Sheaffer , et al. August 4, 1
1998-08-04

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed