name:-0.17127108573914
name:-0.17687582969666
name:-0.14025592803955
Rizzolo; Michael Patent Filings

Rizzolo; Michael

Patent Applications and Registrations

Patent applications and USPTO patent grants for Rizzolo; Michael.The latest application filed is for "mram containing magnetic top contact".

Company Profile
159.180.187
  • Rizzolo; Michael - Delmar NY
  • Rizzolo; Michael - Albany NY
  • Rizzolo; Michael - Red Hook NY
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Trademarks
Patent Activity
PatentDate
Back-end-of-line interconnect structures with varying aspect ratios
Grant 11,444,029 - Bhosale , et al. September 13, 2
2022-09-13
Magnetoresistive random-access memory cell having a metal line connection
Grant 11,424,403 - Xie , et al. August 23, 2
2022-08-23
Mram Containing Magnetic Top Contact
App 20220238794 - Rizzolo; Michael ;   et al.
2022-07-28
External Magnetic Bottom Contact Structure For Mram
App 20220180911 - Zare; Saba ;   et al.
2022-06-09
Optically-passive magnetic signature and identification feature with electromagnetic tamper detection
Grant 11,351,811 - Rizzolo , et al. June 7, 2
2022-06-07
Hybrid dielectric scheme for varying liner thickness and manganese concentration
Grant 11,348,872 - Briggs , et al. May 31, 2
2022-05-31
Increasing cost benefit and energy efficiency with modular delivery drones in inclement weather
Grant 11,348,060 - Briggs , et al. May 31, 2
2022-05-31
Integrated Non Volatile Memory Electrode Thin Film Resistor Cap And Etch Stop
App 20220165790 - Brew; Kevin W. ;   et al.
2022-05-26
Pillar-based Memory Hardmask Smoothing And Stress Reduction
App 20220109099 - Rizzolo; Michael ;   et al.
2022-04-07
Adjustable via dimension and chamfer angle
Grant 11,276,636 - Clevenger , et al. March 15, 2
2022-03-15
Proximity correction in three-dimensional manufacturing
Grant 11,263,068 - Briggs , et al. March 1, 2
2022-03-01
Semiconductor tool matching and manufacturing management in a blockchain
Grant 11,223,655 - Bhosale , et al. January 11, 2
2022-01-11
Pillar-based memory hardmask smoothing and stress reduction
Grant 11,223,008 - Rizzolo , et al. January 11, 2
2022-01-11
Dielectric Retention And Method Of Forming Memory Pillar
App 20210399212 - Zare; Saba ;   et al.
2021-12-23
Encapsulation topography-assisted self-aligned MRAM top contact
Grant 11,195,993 - Rizzolo , et al. December 7, 2
2021-12-07
Optically-passive Magnetic Signature And Identification Feature With Electromagnetic Tamper Detection
App 20210370705 - Rizzolo; Michael ;   et al.
2021-12-02
Alignment through topography on intermediate component for memory device patterning
Grant 11,177,437 - Tang , et al. November 16, 2
2021-11-16
Bamboo tall via interconnect structures
Grant 11,164,779 - Yang , et al. November 2, 2
2021-11-02
Motion-controlled portals in virtual reality
Grant 11,164,377 - Sipolins , et al. November 2, 2
2021-11-02
Semiconductor Device Including A Porous Dielectric Layer, And Method Of Forming The Semiconductor Device
App 20210335706 - Briggs; Benjamin D. ;   et al.
2021-10-28
Mram Structure With Ternary Weight Storage
App 20210336128 - Reznicek; Alexander ;   et al.
2021-10-28
Selective CVD alignment-mark topography assist for non-volatile memory
Grant 11,158,584 - Rizzolo , et al. October 26, 2
2021-10-26
Laser Anneal For Mram Encapsulation Enhancement
App 20210313511 - Rizzolo; Michael ;   et al.
2021-10-07
Secure access for drone package delivery
Grant 11,138,890 - Briggs , et al. October 5, 2
2021-10-05
Embedded Memory Devices
App 20210305494 - Dutta; Ashim ;   et al.
2021-09-30
Method for using 3D positional spatial olfaction for virtual marketing
Grant 11,132,712 - Briggs , et al. September 28, 2
2021-09-28
Preserving underlying dielectric layer during MRAM device formation
Grant 11,121,173 - Dutta , et al. September 14, 2
2021-09-14
MRAM integration into the MOL for fast 1T1M cells
Grant 11,121,174 - Reznicek , et al. September 14, 2
2021-09-14
Magnetoresistive Random-access Memory With Metal Interconnects
App 20210265559 - Xie; Ruilong ;   et al.
2021-08-26
Back-end-of-line Interconnect Structures With Varying Aspect Ratios
App 20210265277 - Bhosale; Prasad ;   et al.
2021-08-26
Optimizating Semiconductor Binning By Feed-forward Process Adjustment
App 20210249288 - Briggs; Benjamin D. ;   et al.
2021-08-12
Bevel metal removal using ion beam etch
Grant 11,081,643 - Dutta , et al. August 3, 2
2021-08-03
Automated method for integrated analysis of back end of the line yield, line resistance/capacitance and process performance
Grant 11,074,387 - Bhosale , et al. July 27, 2
2021-07-27
Bevel Metal Removal Using Ion Beam Etch
App 20210226120 - Dutta; Ashim ;   et al.
2021-07-22
Laser anneal for MRAM encapsulation enhancement
Grant 11,069,854 - Rizzolo , et al. July 20, 2
2021-07-20
Selective Ild Deposition For Fully Aligned Via With Airgap
App 20210217653 - Penny; Christopher J. ;   et al.
2021-07-15
Resistive memory device with meshed electrodes
Grant 11,063,089 - Ando , et al. July 13, 2
2021-07-13
Semiconductor device including a porous dielectric layer, and method of forming the semiconductor device
Grant 11,056,429 - Briggs , et al. July 6, 2
2021-07-06
Optimizing semiconductor binning by feed-forward process adjustment
Grant 11,049,744 - Briggs , et al. June 29, 2
2021-06-29
Semiconductor structures of more uniform thickness
Grant 11,031,250 - Ebrish , et al. June 8, 2
2021-06-08
Contact via with pillar of alternating layers
Grant 11,031,542 - Yang , et al. June 8, 2
2021-06-08
Pillar-based Memory Hardmask Smoothing And Stress Reduction
App 20210159394 - Rizzolo; Michael ;   et al.
2021-05-27
Mram Integration Into The Mol For Fast 1t1m Cells
App 20210159270 - Reznicek; Alexander ;   et al.
2021-05-27
Selective CVD alignment-mark topography assist for non-volatile memory
Grant 11,018,090 - Rizzolo , et al. May 25, 2
2021-05-25
Method of manufacturing an interconnect without dielectric exclusion zones by thermal decomposition of a sacrificial filler material
Grant 11,004,790 - Briggs , et al. May 11, 2
2021-05-11
Conductive interconnect having a semi-liner and no top surface recess
Grant 11,004,735 - Peethala , et al. May 11, 2
2021-05-11
Preserving Underlying Dielectric Layer During MRAM Device Formation
App 20210126051 - Dutta; Ashim ;   et al.
2021-04-29
Skip via for metal interconnects
Grant 10,978,388 - Amanapu , et al. April 13, 2
2021-04-13
Hybrid dielectric scheme for varying liner thickness and manganese concentration
Grant 10,978,393 - Briggs , et al. April 13, 2
2021-04-13
Remote physical training
Grant 10,971,030 - Briggs , et al. April 6, 2
2021-04-06
Selective ILD deposition for fully aligned via with airgap
Grant 10,964,588 - Penny , et al. March 30, 2
2021-03-30
Self aligned via and pillar cut for at least a self aligned double pitch
Grant 10,957,582 - Briggs , et al. March 23, 2
2021-03-23
Self aligned via and pillar cut for at least a self aligned double pitch
Grant 10,957,581 - Briggs , et al. March 23, 2
2021-03-23
Spatially localized thermal interface materials
Grant 10,957,622 - Fry , et al. March 23, 2
2021-03-23
Hybrid BEOL metallization utilizing selective reflection mask
Grant 10,957,646 - Briggs , et al. March 23, 2
2021-03-23
Multi-layer encapsulation to enable endpoint-based process control for embedded memory fabrication
Grant 10,957,850 - Dutta , et al. March 23, 2
2021-03-23
Encapsulation Topography-Assisted Self-Aligned MRAM Top Contact
App 20210083179 - Rizzolo; Michael ;   et al.
2021-03-18
Resistive memory device with meshed electrodes
Grant 10,950,662 - Ando , et al. March 16, 2
2021-03-16
Method and structure to construct cylindrical interconnects to reduce resistance
Grant 10,943,866 - Briggs , et al. March 9, 2
2021-03-09
Dynamic rigidity mechanism
Grant 10,912,986 - Briggs , et al. February 9, 2
2021-02-09
Paint on micro chip touch screens
Grant 10,915,620 - Ashoori , et al. February 9, 2
2021-02-09
Back end of line electrical fuse structure and method of fabrication
Grant 10,916,501 - Briggs , et al. February 9, 2
2021-02-09
Adjustable Via Dimension and Chamfer Angle
App 20210035904 - Clevenger; Lawrence A. ;   et al.
2021-02-04
Extreme ultraviolet (EUV) lithography patterning methods utilizing EUV resist hardening
Grant 10,901,317 - Briggs , et al. January 26, 2
2021-01-26
Viscoelastic pad upon integrated circuit device contact for multipass electrical characterization probe testing
Grant 10,901,030 - Cioban , et al. January 26, 2
2021-01-26
Filler particle position and density manipulation with applications in thermal interface materials
Grant 10,903,184 - Fry , et al. January 26, 2
2021-01-26
Fuse element resistance enhancement by laser anneal and ion implantation
Grant 10,903,162 - Jiang , et al. January 26, 2
2021-01-26
Self-aligned Contact Scheme For Pillar-based Memory Elements
App 20210020508 - Briggs; Benjamin D. ;   et al.
2021-01-21
Sacrificial Buffer Layer For Metal Removal At A Bevel Edge Of A Substrate
App 20210013400 - Dutta; Ashim ;   et al.
2021-01-14
Sacrificial buffer layer for metal removal at a bevel edge of a substrate
Grant 10,892,404 - Dutta , et al. January 12, 2
2021-01-12
Selective Ild Deposition For Fully Aligned Via With Airgap
App 20200388525 - Penny; Christopher J. ;   et al.
2020-12-10
Semiconductor Device Including A Porous Dielectric Layer, And Method Of Forming The Semiconductor Device
App 20200388568 - Briggs; Benjamin David ;   et al.
2020-12-10
Bottom electrode and dielectric structure for MRAM applications
Grant 10,833,122 - Amanapu , et al. November 10, 2
2020-11-10
Resistive memory crossbar array with ruthenium protection layer
Grant 10,833,266 - Ando , et al. November 10, 2
2020-11-10
Three-dimensional and planar memory device co-integration
Grant 10,833,127 - Ando , et al. November 10, 2
2020-11-10
Magnetic tunnel junction performance monitoring based on magnetic field coupling
Grant 10,830,841 - Lanzillo , et al. November 10, 2
2020-11-10
Contact Via
App 20200350486 - Yang; Chih-Chao ;   et al.
2020-11-05
Co-fabrication of magnetic device structures with electrical interconnects having reduced resistance through increased conductor grain size
Grant 10,811,599 - Clevenger , et al. October 20, 2
2020-10-20
Auto-incorrect in chatbot human-machine interfaces
Grant 10,812,417 - Briggs , et al. October 20, 2
2020-10-20
Bamboo Tall Via Interconnect Structures
App 20200328112 - Yang; Chih-Chao ;   et al.
2020-10-15
Magnetic tunnel junction with low series resistance
Grant 10,796,833 - Lanzillo , et al. October 6, 2
2020-10-06
Hardmask stress, grain, and structure engineering for advanced memory applications
Grant 10,796,911 - Rizzolo , et al. October 6, 2
2020-10-06
Spatially Localized Thermal Interface Materials
App 20200303282 - Fry; Jonathan R. ;   et al.
2020-09-24
Semiconductor Device With Selective Insulator For Improved Capacitance
App 20200303239 - Penny; Christopher J. ;   et al.
2020-09-24
Binaural audio calibration
Grant 10,785,590 - Briggs , et al. Sept
2020-09-22
Self-aligned airgaps with conductive lines and vias
Grant 10,784,156 - Briggs , et al. Sept
2020-09-22
Method and structure to construct cylindrical interconnects to reduce resistance
Grant 10,784,197 - Briggs , et al. Sept
2020-09-22
Fuse Element Resistance Enhancement By Laser Anneal And Ion Implantation
App 20200286827 - Jiang; Liying ;   et al.
2020-09-10
Three-dimensional And Planar Memory Device Co-integration
App 20200286956 - Ando; Takashi ;   et al.
2020-09-10
Location-specific laser annealing to improve interconnect microstructure
Grant 10,770,348 - Briggs , et al. Sep
2020-09-08
Structures and methods for embedded magnetic random access memory (MRAM) fabrication
Grant 10,770,511 - Clevenger , et al. Sep
2020-09-08
Selective dielectric deposition to prevent gouging in MRAM
Grant 10,770,653 - Penny , et al. Sep
2020-09-08
Semiconductor device with selective insulator for improved capacitance
Grant 10,763,160 - Penny , et al. Sep
2020-09-01
Co-fabrication of magnetic device structures with electrical interconnects having reduced resistance through increased conductor grain size
Grant 10,756,260 - Clevenger , et al. A
2020-08-25
Structure of implementing a directed self-assembled security pattern
Grant 10,752,039 - Briggs , et al. A
2020-08-25
Viscoelastic Pad Upon Integrated Circuit Device Contact For Multipass Electrical Characterization Probe Testing
App 20200264230 - Cioban; Max S. ;   et al.
2020-08-20
Accelerated wafer testing using non-destructive and localized stress
Grant 10,746,782 - Briggs , et al. A
2020-08-18
Medication scheduling and alerts
Grant 10,747,850 - Ashoori , et al. A
2020-08-18
Pre-patterned etch stop for interconnect trench formation overlying embedded MRAM structures
Grant 10,741,609 - Muthinti , et al. A
2020-08-11
Accelerated wafer testing using non-destructive and localized stress
Grant 10,739,397 - Briggs , et al. A
2020-08-11
Prevention of switching of spins in magnetic tunnel junctions by on-chip parasitic magnetic shield
Grant 10,720,567 - Briggs , et al.
2020-07-21
Embedded magnetic tunnel junction pillar having reduced height and uniform contact area
Grant 10,714,681 - Rizzolo , et al.
2020-07-14
Multilayer hardmask for high performance MRAM devices
Grant 10,714,683 - Rizzolo , et al.
2020-07-14
Pre-patterned Etch Stop For Interconnect Trench Formation Overlying Embedded Mram Structures
App 20200219932 - MUTHINTI; GANGADHARA RAJA ;   et al.
2020-07-09
Bottom Electrode And Dielectric Structure For Mram Applications
App 20200219931 - Amanapu; Hari Prasad ;   et al.
2020-07-09
Formation of embedded magnetic random-access memory devices
Grant 10,707,413 - Dutta , et al.
2020-07-07
Hardmask Stress, Grain, And Structure Engineering For Advanced Memory Applications
App 20200203164 - Rizzolo; Michael ;   et al.
2020-06-25
Dielectric fill for memory pillar elements
Grant 10,692,925 - Rizzolo , et al.
2020-06-23
Capacitance reduction in sea of lines BEOL metallization
Grant 10,679,934 - Briggs , et al.
2020-06-09
Multilayer hardmask for high performance MRAM devices
Grant 10,680,169 - Rizzolo , et al.
2020-06-09
Semiconductor Structures Of More Uniform Thickness
App 20200176263 - Ebrish; Mona A. ;   et al.
2020-06-04
Hybrid Beol Metallization Utilizing Selective Reflection Mask
App 20200176388 - BRIGGS; Benjamin D. ;   et al.
2020-06-04
Patterning Magnetic Tunnel Junctions And The Like While Reducing Detrimental Resputtering Of Underlying Features
App 20200176673 - Chung; Kisup ;   et al.
2020-06-04
Resistive memory crossbar array compatible with Cu metallization
Grant 10,672,984 - Ando , et al.
2020-06-02
Hardmask stress, grain, and structure engineering for advanced memory applications
Grant 10,672,611 - Rizzolo , et al.
2020-06-02
Back End Of Line Electrical Fuse Structure And Method Of Fabrication
App 20200161239 - Briggs; Benjamin D. ;   et al.
2020-05-21
Alignment through topography on intermediate component for memory device patterning
Grant 10,658,589 - Tang , et al.
2020-05-19
Selective ILD deposition for fully aligned via with airgap
Grant 10,651,078 - Penny , et al.
2020-05-12
Multilayer Hardmask For High Performance Mram Devices
App 20200144491 - Rizzolo; Michael ;   et al.
2020-05-07
Hardmask Stress, Grain, And Structure Engineering For Advanced Memory Applications
App 20200126791 - Rizzolo; Michael ;   et al.
2020-04-23
Cognitive System For Localized Lidar Pollution Detection Using Autonomous Vehicles
App 20200125969 - Briggs; Benjamin D. ;   et al.
2020-04-23
Embedded Magnetic Tunnel Junction Pillar Having Reduced Height And Uniform Contact Area
App 20200127194 - Rizzolo; Michael ;   et al.
2020-04-23
Dual-damascene formation with dielectric spacer and thin liner
Grant 10,629,478 - Briggs , et al.
2020-04-21
Semiconductor device including a porous dielectric layer, and method of forming the semiconductor device
Grant 10,629,529 - Briggs , et al.
2020-04-21
Dielectric Fill For Memory Pillar Elements
App 20200119089 - Rizzolo; Michael ;   et al.
2020-04-16
Laser Anneal For Mram Encapsulation Enhancement
App 20200119263 - Rizzolo; Michael ;   et al.
2020-04-16
Dual metal nitride landing pad for MRAM devices
Grant 10,622,406 - Reznicek , et al.
2020-04-14
Skip Via For Metal Interconnects
App 20200111736 - Amanapu; Hari Prasad ;   et al.
2020-04-09
Resistive Memory Device With Meshed Electrodes
App 20200111837 - Ando; Takashi ;   et al.
2020-04-09
Multi-layer Encapsulation To Enable Endpoint-based Process Control For Embedded Memory Fabrication
App 20200111951 - Dutta; Ashim ;   et al.
2020-04-09
Resistive Memory Device With Meshed Electrodes
App 20200111838 - Ando; Takashi ;   et al.
2020-04-09
Resistive Memory Crossbar Array With Ruthenium Protection Layer
App 20200111958 - Ando; Takashi ;   et al.
2020-04-09
Back end of line electrical fuse structure and method of fabrication
Grant 10,615,119 - Briggs , et al.
2020-04-07
Computer-mediated reality including physical damping feedback
Grant 10,606,231 - Briggs , et al.
2020-03-31
Magnetic Tunnel Junction With Low Series Resistance
App 20200098499 - Lanzillo; Nicholas A. ;   et al.
2020-03-26
Automated Method For Integrated Analysis Of Back End Of The Line Yield, Line Resistance/capacitance And Process Performance
App 20200089831 - Bhosale; Prasad ;   et al.
2020-03-19
Hybrid Dielectric Scheme For Varying Liner Thickness And Manganese Concentration
App 20200091079 - Briggs; Benjamin D. ;   et al.
2020-03-19
Conductive Interconnect Having A Semi-liner And No Top Surface Recess
App 20200090988 - Peethala; Cornelius B. ;   et al.
2020-03-19
Fold over emitter and collector field emission transistor
Grant 10,593,506 - Briggs , et al.
2020-03-17
Proximity Correction In Three-dimensional Manufacturing
App 20200081761 - Briggs; Benjamin D. ;   et al.
2020-03-12
Alignment Through Topography On Intermediate Component For Memory Device Patterning
App 20200083447 - Tang; Hao ;   et al.
2020-03-12
Automated method for integrated analysis of back end of the line yield, line resistance/capacitance and process performance
Grant 10,585,998 - Bhosale , et al.
2020-03-10
Hybrid BEOL metallization utilizing selective reflection mask
Grant 10,586,767 - Briggs , et al.
2020-03-10
Location-specific Laser Annealing To Improve Interconnect Microstructure
App 20200075406 - Briggs; Benjamin David ;   et al.
2020-03-05
Low-temperature diffusion doping of copper interconnects independent of seed layer composition
Grant 10,580,740 - Briggs , et al.
2020-03-03
Filler Particle Position And Density Manipulation With Applications In Thermal Interface Materials
App 20200066669 - Fry; Jonathan ;   et al.
2020-02-27
Increasing Cost Benefit And Energy Efficiency With Modular Delivery Drones In Inclement Weather
App 20200065762 - Briggs; Benjamin D. ;   et al.
2020-02-27
Method And Structure To Construct Cylindrical Interconnects To Reduce Resistance
App 20200058590 - Briggs; Benjamin D. ;   et al.
2020-02-20
Method And Structure To Construct Cylindrical Interconnects To Reduce Resistance
App 20200058591 - Briggs; Benjamin D. ;   et al.
2020-02-20
Selective Cvd Alignment-mark Topography Assist For Non-volatile Memory
App 20200058594 - Rizzolo; Michael ;   et al.
2020-02-20
Selective Cvd Alignment-mark Topography Assist For Non-volatile Memory
App 20200051924 - Rizzolo; Michael ;   et al.
2020-02-13
Semiconductor Tool Matching And Manufacturing Management In A Blockchain
App 20200053128 - Bhosale; Prasad ;   et al.
2020-02-13
Location-specific laser annealing to improve interconnect microstructure
Grant 10,559,498 - Briggs , et al. Feb
2020-02-11
Proximity correction in three-dimensional manufacturing
Grant 10,545,806 - Briggs , et al. Ja
2020-01-28
Resistive memory device with meshed electrodes
Grant 10,546,892 - Ando , et al. Ja
2020-01-28
Resistive Memory Crossbar Array Compatible With Cu Metallization
App 20200028080 - Ando; Takashi ;   et al.
2020-01-23
Hybrid Beol Metallization Utilizing Selective Reflection Mask
App 20200027840 - BRIGGS; Benjamin D. ;   et al.
2020-01-23
Interconnect structure including air gaps enclosed between conductive lines and a permeable dielectric layer
Grant 10,541,206 - Briggs , et al. Ja
2020-01-21
Structure And Method For Maximizing Air Gap In Back End Of The Line Interconnect Through Via Landing Modification
App 20200013718 - Briggs; Benjamin D. ;   et al.
2020-01-09
Dual Metal Nitride Landing Pad For Mram Devices
App 20200013826 - Reznicek; Alexander ;   et al.
2020-01-09
Method and structure to construct cylindrical interconnects to reduce resistance
Grant 10,529,662 - Briggs , et al. J
2020-01-07
Modulating the microstructure of metallic interconnect structures
Grant 10,529,621 - Quon , et al. J
2020-01-07
Alignment Through Topography On Intermediate Component For Memory Device Patterning
App 20200006655 - TANG; Hao ;   et al.
2020-01-02
Selective CVD alignment-mark topography assist for non-volatile memory
Grant 10,515,903 - Rizzolo , et al. Dec
2019-12-24
Enhanced self-alignment of vias for a semiconductor device
Grant 10,515,894 - Briggs , et al. Dec
2019-12-24
Multilayer Hardmask For High Performance Mram Devices
App 20190386210 - Rizzolo; Michael ;   et al.
2019-12-19
Extreme Ultraviolet (euv) Lithography Patterning Methods Utilizing Euv Resist Hardening
App 20190384180 - Briggs; Benjamin D. ;   et al.
2019-12-19
Binaural Audio Calibration
App 20190379996 - Briggs; Benjamin David ;   et al.
2019-12-12
Binaural audio calibration
Grant 10,492,019 - Briggs , et al. Nov
2019-11-26
Selective Cvd Alignment-mark Topography Assist For Non-volatile Memory
App 20190355668 - Rizzolo; Michael ;   et al.
2019-11-21
Motion-controlled Portals In Virtual Reality
App 20190355175 - Sipolins; Aldis ;   et al.
2019-11-21
Bottom electrode for MRAM applications
Grant 10,461,248 - Bhosale , et al. Oc
2019-10-29
Paint On Micro Chip Touch Screens
App 20190325126 - Ashoori; Maryam ;   et al.
2019-10-24
Paint On Micro Chip Touch Screens
App 20190325127 - Ashoori; Maryam ;   et al.
2019-10-24
Self Aligned Via And Pillar Cut For At Least A Self Aligned Double Pitch
App 20190318960 - Briggs; Benjamin D. ;   et al.
2019-10-17
Self-forming barrier for cobalt interconnects
Grant 10,446,496 - Briggs , et al. Oc
2019-10-15
Self-orientation And Self-placement Of Computing Devices In A Fluid
App 20190313533 - Skordas; Spyridon ;   et al.
2019-10-10
Self Aligned Via And Pillar Cut For At Least A Self Aligned Double Pitch
App 20190311946 - Briggs; Benjamin D. ;   et al.
2019-10-10
Fold Over Emitter And Collector Field Emission Transistor
App 20190304733 - Briggs; Benjamin D. ;   et al.
2019-10-03
Orator effectiveness through real-time feedback system with automatic detection of human behavioral and emotional states of orator and audience
Grant 10,431,116 - Briggs , et al. O
2019-10-01
Self-forming barrier for cobalt interconnects
Grant 10,431,544 - Briggs , et al. O
2019-10-01
Fold over emitter and collector field emission transistor
Grant 10,424,456 - Briggs , et al. Sept
2019-09-24
Semiconductor Device Including a Porous Dielectric Layer, and Method of Forming the Semiconductor Device
App 20190279931 - Briggs; Benjamin David ;   et al.
2019-09-12
Co-fabrication Of Magnetic Device Structures With Electrical Interconnects Having Reduced Resistance Through Increased Conductor
App 20190280196 - Clevenger; Lawrence A. ;   et al.
2019-09-12
Co-fabrication Of Magnetic Device Structures With Electrical Interconnects Having Reduced Resistance Through Increased Conductor
App 20190273204 - Clevenger; Lawrence A. ;   et al.
2019-09-05
Paint on micro chip touch screens
Grant 10,404,306 - Ashoori , et al. Sep
2019-09-03
Selective Ild Deposition For Fully Aligned Via With Airgap
App 20190267278 - Penny; Christopher J. ;   et al.
2019-08-29
Location-specific Laser Annealing To Improve Interconnect Microstructure
App 20190262941 - BRIGGS; Benjamin David ;   et al.
2019-08-29
Self aligned via and pillar cut for at least a self aligned double pitch
Grant 10,395,977 - Briggs , et al. A
2019-08-27
Fully aligned via employing selective metal deposition
Grant 10,395,986 - Briggs , et al. A
2019-08-27
Multi-angled deposition and masking for custom spacer trim and selected spacer removal
Grant 10,388,525 - Bergendahl , et al. A
2019-08-20
Resistive memory crossbar array compatible with Cu metallization
Grant 10,381,563 - Ando , et al. A
2019-08-13
Method And Structure To Construct Cylindrical Interconnects To Reduce Resistance
App 20190237402 - Briggs; Benjamin D. ;   et al.
2019-08-01
Semiconductor device including a porous dielectric layer, and method of forming the semiconductor device
Grant 10,366,952 - Briggs , et al. July 30, 2
2019-07-30
Location-specific laser annealing to improve interconnect microstructure
Grant 10,366,920 - Briggs , et al. July 30, 2
2019-07-30
Multi-angled deposition and masking for custom spacer trim and selected spacer removal
Grant 10,361,079 - Bergendahl , et al.
2019-07-23
Co-fabrication of magnetic device structures with electrical interconnects having reduced resistance through increased conductor grain size
Grant 10,361,364 - Clevenger , et al.
2019-07-23
Selective ILD deposition for fully aligned via with airgap
Grant 10,361,117 - Penny , et al.
2019-07-23
Method of manufacturing self-aligned interconnects by deposition of a non-conformal air-gap forming layer having an undulated upper surface
Grant 10,361,157 - Briggs , et al.
2019-07-23
Auto-incorrect In Chatbot Human-machine Interfaces
App 20190215282 - BRIGGS; Benjamin D. ;   et al.
2019-07-11
Selective deposition and nitridization of bottom electrode metal for MRAM applications
Grant 10,347,825 - Briggs , et al. July 9, 2
2019-07-09
Extreme Ultraviolet (euv) Lithography Patterning Methods Utilizing Euv Resist Hardening
App 20190198325 - Briggs; Benjamin D. ;   et al.
2019-06-27
Structure, System, Method, And Recording Medium Of Implementing A Directed Self-assembled Security Pattern
App 20190193452 - Briggs; Benjamin David ;   et al.
2019-06-27
Back End Of Line Electrical Fuse Structure And Method Of Fabrication
App 20190181091 - Briggs; Benjamin D. ;   et al.
2019-06-13
Selective Ild Deposition For Fully Aligned Via With Airgap
App 20190181033 - Penny; Christopher J. ;   et al.
2019-06-13
Structure, system, method, and recording medium of implementing a directed self-assembled security pattern
Grant 10,315,451 - Briggs , et al.
2019-06-11
Integrated magnetic tunnel junction (MTJ) in back end of line (BEOL) interconnects
Grant 10,319,783 - Briggs , et al.
2019-06-11
Capacitance Reduction In Sea Of Lines Beol Metallization
App 20190172783 - Briggs; Benjamin D. ;   et al.
2019-06-06
Modulating The Microstructure Of Metallic Interconnect Structures
App 20190172747 - Quon; Roger A. ;   et al.
2019-06-06
Selective deposition and nitridization of bottom electrode metal for MRAM applications
Grant 10,312,434 - Briggs , et al.
2019-06-04
Structures And Methods For Embedded Magnetic Random Access Memory (mram) Fabrication
App 20190165042 - Clevenger; Lawrence A. ;   et al.
2019-05-30
Secure Access For Drone Package Delivery
App 20190164441 - Briggs; Benjamin D. ;   et al.
2019-05-30
Automated method for integrated analysis of back end of the line yield, line resistance/capacitance and process performance
Grant 10,303,829 - Bhosale , et al.
2019-05-28
Computer-mediated Reality Including Physical Damping Feedback
App 20190155236 - Briggs; Benjamin D. ;   et al.
2019-05-23
Wraparound top electrode line for crossbar array resistive switching device
Grant 10,297,750 - Ando , et al.
2019-05-21
Low-Temperature Diffusion Doping of Copper Interconnects Independent of Seed Layer Composition
App 20190148303 - Briggs; Benjamin D. ;   et al.
2019-05-16
Wraparound Top Electrode Line For Crossbar Array Resistive Switching Device
App 20190148637 - Ando; Takashi ;   et al.
2019-05-16
Barrier layers in trenches and vias
Grant 10,290,541 - Briggs , et al.
2019-05-14
Cognitive system to improve athletic performance with motivation from different training styles
Grant 10,276,053 - Briggs , et al.
2019-04-30
Drone Delivery Routing And Communication
App 20190122177 - BRIGGS; BENJAMIN D. ;   et al.
2019-04-25
Optimizating Semiconductor Binning By Feed-forward Process Adjustment
App 20190122911 - Briggs; Benjamin D. ;   et al.
2019-04-25
Method For Using 3d Positional Spatial Olfaction For Virtual Marketing
App 20190114671 - BRIGGS; Benjamin D. ;   et al.
2019-04-18
Hybrid dielectric scheme for varying liner thickness and manganese concentration
Grant 10,256,191 - Briggs , et al.
2019-04-09
Modulating the microstructure of metallic interconnect structures
Grant 10,249,532 - Quon , et al.
2019-04-02
Structures and methods for embedded magnetic random access memory (MRAM) fabrication
Grant 10,243,020 - Clevenger , et al.
2019-03-26
High-density MIM capacitors
Grant 10,229,967 - Briggs , et al.
2019-03-12
Dual-damascene Formation With Dielectric Spacer And Thin Liner
App 20190067087 - Briggs; Benjamin D. ;   et al.
2019-02-28
Enhanced self-alignment of vias for asemiconductor device
Grant 10,211,151 - Briggs , et al. Feb
2019-02-19
Reducing metallic interconnect resistivity through application of mechanical strain
Grant 10,211,155 - Briggs , et al. Feb
2019-02-19
Enabling low resistance gates and contacts integrated with bilayer dielectrics
Grant 10,204,828 - Bao , et al. Feb
2019-02-12
Smartwatch blackbox
Grant 10,195,901 - Briggs , et al. Fe
2019-02-05
Low-temperature diffusion doping of copper interconnects independent of seed layer composition
Grant 10,192,829 - Briggs , et al. Ja
2019-01-29
Hybrid Dielectric Scheme For Varying Liner Thickness And Manganese Concentration
App 20190013278 - Briggs; Benjamin D. ;   et al.
2019-01-10
Airgap protection layer for via alignment
Grant 10,170,411 - Briggs , et al. J
2019-01-01
Co-fabrication Of Magnetic Device Structures With Electrical Interconnects Having Reduced Resistance Through Increased Conductor Grain Size
App 20180366640 - Clevenger; Lawrence A. ;   et al.
2018-12-20
Predictive Notification Of Personality Shifts For Mental Illness Management
App 20180366142 - ASHOORI; Maryam ;   et al.
2018-12-20
Semiconductor Device Including A Porous Dielectric Layer, And Method Of Forming The Semiconductor Device
App 20180366408 - BRIGGS; Benjamin David ;   et al.
2018-12-20
Dynamic Rigidity Mechanism
App 20180361225 - Briggs; Benjamin D. ;   et al.
2018-12-20
Predictive Notification Of Personality Shifts For Mental Illness Management
App 20180366141 - ASHOORI; Maryam ;   et al.
2018-12-20
Structure, System, Method, And Recording Medium Of Implementing A Directed Self-assembled Security Pattern
App 20180354291 - Briggs; Benjamin David ;   et al.
2018-12-13
Neutral atom beam nitridation for copper interconnect
Grant 10,153,202 - Briggs , et al. Dec
2018-12-11
Structure, system, method, and recording medium of implementing a directed self-assembled security pattern
Grant 10,150,323 - Briggs , et al. Dec
2018-12-11
Automated Method For Integrated Analysis Of Back End Of The Line Yield, Line Resistance/capacitance And Process Performance
App 20180349535 - Bhosale; Prasad ;   et al.
2018-12-06
Proximity Correction In Three-dimensional Manufacturing
App 20180349220 - Briggs; Benjamin D. ;   et al.
2018-12-06
Automated Method For Integrated Analysis Of Back End Of The Line Yield, Line Resistance/capacitance And Process Performance
App 20180349538 - Bhosale; Prasad ;   et al.
2018-12-06
Paint On Micro Chip Touch Screens
App 20180351596 - Ashoori; Maryam ;   et al.
2018-12-06
Structure and method for improved stabilization of cobalt cap and/or cobalt liner in interconnects
Grant 10,134,674 - Briggs , et al. November 20, 2
2018-11-20
Accelerated Wafer Testing Using Non-destructive And Localized Stress
App 20180328979 - Briggs; Benjamin D. ;   et al.
2018-11-15
Accelerated Wafer Testing Using Non-destructive And Localized Stress
App 20180328977 - Briggs; Benjamin D. ;   et al.
2018-11-15
Method And System For Targeted Advertising Based On Natural Language Analytics
App 20180315093 - Ashoori; Maryam ;   et al.
2018-11-01
Method And System For Targeted Advertising Based On Natural Language Analytics
App 20180315094 - Ashoori; Maryam ;   et al.
2018-11-01
Semiconductor device including a porous dielectric layer, and method of forming the semiconductor device
Grant 10,109,579 - Briggs , et al. October 23, 2
2018-10-23
Dynamic rigidity mechanism
Grant 10,099,108 - Briggs , et al. October 16, 2
2018-10-16
Bottom electrode for MRAM applications
Grant 10,096,769 - Bhosale , et al. October 9, 2
2018-10-09
Bottom Electrode For Mram Applications
App 20180287051 - Bhosale; Prasad ;   et al.
2018-10-04
Reducing Metallic Interconnect Resistivity Through Application Of Mechanical Strain
App 20180277482 - Briggs; Benjamin D. ;   et al.
2018-09-27
Skip-vias bypassing a metallization level at minimum pitch
Grant 10,083,905 - Briggs , et al. September 25, 2
2018-09-25
Prevention of Switching of Spins in Magnetic Tunnel Junctions by On-Chip Parasitic Magnetic Shield
App 20180269383 - Briggs; Benjamin D. ;   et al.
2018-09-20
Bottom Electrode For Mram Applications
App 20180261759 - Bhosale; Prasad ;   et al.
2018-09-13
Enhanced Self-alignment Of Vias For A Semiconductor Device
App 20180254242 - BRIGGS; Benjamin D. ;   et al.
2018-09-06
Modulating The Microstructure Of Metallic Interconnect Structures
App 20180247866 - Quon; Roger A. ;   et al.
2018-08-30
Binaural Audio Calibration
App 20180249271 - Briggs; Benjamin David ;   et al.
2018-08-30
Emotional Analysis And Depiction In Virtual Reality
App 20180247443 - Briggs; Benjamin D. ;   et al.
2018-08-30
Selective Deposition And Nitridization Of Bottom Electrode Metal For Mram Applications
App 20180240971 - Briggs; Benjamin D. ;   et al.
2018-08-23
Selective Deposition And Nitridization Of Bottom Electrode Metal For Mram Applications
App 20180240968 - Briggs; Benjamin D. ;   et al.
2018-08-23
Smartwatch blackbox
Grant 10,046,601 - Briggs , et al. August 14, 2
2018-08-14
Smartwatch blackbox
Grant 10,046,698 - Briggs , et al. August 14, 2
2018-08-14
Social media modification of behavior and mobile screening for impairment
Grant 10,045,096 - Briggs , et al. August 7, 2
2018-08-07
Remote Physical Training
App 20180207484 - Briggs; Benjamin D. ;   et al.
2018-07-26
Hybrid Dielectric Scheme For Varying Liner Thickness And Manganese Concentration
App 20180211920 - Briggs; Benjamin D. ;   et al.
2018-07-26
Integrated Magnetic Tunnel Junction (mtj) In Back End Of Line (beol) Interconnects
App 20180197915 - Briggs; Benjamin D. ;   et al.
2018-07-12
Semiconductor Device Including A Porous Dielectric Layer, And Method Of Forming The Semiconductor Device
App 20180190585 - BRIGGS; Benjamin David ;   et al.
2018-07-05
Barrier Layers In Trenches And Vias
App 20180174899 - Briggs; Benjamin D. ;   et al.
2018-06-21
Multi-angled deposition and masking for custom spacer trim and selected spacer removal
Grant 10,002,762 - Bergendahl , et al. June 19, 2
2018-06-19
Semiconductor device including a porous dielectric layer, and method of forming the semiconductor device
Grant 9,997,451 - Briggs , et al. June 12, 2
2018-06-12
Airgap Protection Layer For Via Alignment
App 20180151491 - Briggs; Benjamin D. ;   et al.
2018-05-31
Uniform dielectric recess depth during fin reveal
Grant 9,984,935 - Briggs , et al. May 29, 2
2018-05-29
Prevention of switching of spins in magnetic tunnel junctions by on-chip parasitic magnetic shield
Grant 9,985,199 - Briggs , et al. May 29, 2
2018-05-29
Barrier layers in trenches and vias
Grant 9,984,923 - Briggs , et al. May 29, 2
2018-05-29
Uniform dielectric recess depth during fin reveal
Grant 9,984,916 - Briggs , et al. May 29, 2
2018-05-29
Fully aligned via with integrated air gaps
Grant 9,966,337 - Briggs , et al. May 8, 2
2018-05-08
Skip-vias Bypassing A Metallization Level At Minimum Pitch
App 20180114752 - Briggs; Benjamin D. ;   et al.
2018-04-26
Fold Over Emitter And Collector Field Emission Transistor
App 20180108508 - Briggs; Benjamin D. ;   et al.
2018-04-19
Fold over emitter and collector field emission transistor
Grant 9,941,088 - Briggs , et al. April 10, 2
2018-04-10
Uniform dielectric recess depth during fin reveal
Grant 9,941,134 - Briggs , et al. April 10, 2
2018-04-10
Reducing metallic interconnect resistivity through application of mechanical strain
Grant 9,941,211 - Briggs , et al. April 10, 2
2018-04-10
Heterogeneous Metallization Using Solid Diffusion Removal Of Metal Interconnects
App 20180090372 - Briggs; Benjamin D. ;   et al.
2018-03-29
Airgap protection layer for via alignment
Grant 9,929,088 - Briggs , et al. March 27, 2
2018-03-27
Self-aligned Airgaps With Conductive Lines And Vias
App 20180082885 - Briggs; Benjamin D. ;   et al.
2018-03-22
Virtual And Augmented Reality Using High-throughput Wireless Visual Data Transmission
App 20180081425 - Briggs; Benjamin D. ;   et al.
2018-03-22
Multi-angled Deposition And Masking For Custom Spacer Trim And Selected Spacer Removal
App 20180076035 - Bergendahl; Marc A. ;   et al.
2018-03-15
Multi-angled Deposition And Masking For Custom Spacer Trim And Selected Spacer Removal
App 20180076033 - Bergendahl; Marc A. ;   et al.
2018-03-15
Multi-angled Deposition And Masking For Custom Spacer Trim And Selected Spacer Removal
App 20180076034 - Bergendahl; Marc A. ;   et al.
2018-03-15
Integrated magnetic tunnel junction (MTJ) in back end of line (BEOL) interconnects
Grant 9,917,137 - Briggs , et al. March 13, 2
2018-03-13
Skip-vias bypassing a metallization level at minimum pitch
Grant 9,911,651 - Briggs , et al. March 6, 2
2018-03-06
Smartwatch Blackbox
App 20180056862 - Briggs; Benjamin D. ;   et al.
2018-03-01
Neutral Atom Beam Nitridation For Copper Interconnect
App 20180061705 - Briggs; Benjamin D. ;   et al.
2018-03-01
Neutral Atom Beam Nitridation For Copper Interconnect
App 20180061704 - Briggs; Benjamin D. ;   et al.
2018-03-01
Neutral atom beam nitridation for copper interconnect
Grant 9,905,459 - Briggs , et al. February 27, 2
2018-02-27
Structure and fabrication method for enhanced mechanical strength crack stop
Grant 9,899,338 - Briggs , et al. February 20, 2
2018-02-20
Self-aligned airgaps with conductive lines and vias
Grant 9,899,256 - Briggs , et al. February 20, 2
2018-02-20
Structure And Fabrication Method For Enhanced Mechanical Strength Crack Stop
App 20180047676 - Briggs; Benjamin D. ;   et al.
2018-02-15
Security key system
Grant 9,881,431 - Briggs , et al. January 30, 2
2018-01-30
Barrier Layers In Trenches And Vias
App 20180005880 - Briggs; Benjamin D. ;   et al.
2018-01-04
Semiconductor Device Including A Porous Dielectric Layer, And Method Of Forming The Semiconductor Device
App 20180005941 - BRIGGS; Benjamin David ;   et al.
2018-01-04
Enhanced Self-alignment Of Vias For A Semiconductor Device
App 20180005937 - Briggs; Benjamin David ;   et al.
2018-01-04
Structure And Method For Improved Stabilization Of Cobalt Cap And/or Cobalt Liner In Interconnects
App 20180005953 - Briggs; Benjamin D. ;   et al.
2018-01-04
Self-aligned Airgaps With Conductive Lines And Vias
App 20180005868 - Briggs; Benjamin D. ;   et al.
2018-01-04
Location-specific Laser Annealing To Improve Interconnect Microstructure
App 20180005883 - BRIGGS; Benjamin David ;   et al.
2018-01-04
Health monitoring using parallel cognitive processing
Grant 9,858,388 - Ashoori , et al. January 2, 2
2018-01-02
Smartwatch Blackbox
App 20170368991 - Briggs; Benjamin D. ;   et al.
2017-12-28
Smartwatch Blackbox
App 20170368992 - Briggs; Benjamin D. ;   et al.
2017-12-28
Dynamic Rigidity Mechanism
App 20170361201 - Briggs; Benjamin D. ;   et al.
2017-12-21
Low-Temperature Diffusion Doping of Copper Interconnects Independent of Seed Layer Composition
App 20170358533 - Briggs; Benjamin D. ;   et al.
2017-12-14
Method for maximizing air gap in back end of the line interconnect through via landing modification
Grant 9,837,355 - Briggs , et al. December 5, 2
2017-12-05
Forming deep airgaps without flop over
Grant 9,837,305 - Briggs , et al. December 5, 2
2017-12-05
High-density MIM capacitors
Grant 9,837,485 - Briggs , et al. December 5, 2
2017-12-05
System, Method And Recording Medium For Cognitive Health Management
App 20170344722 - Ashoori; Maryam ;   et al.
2017-11-30
Structure and fabrication method for enhanced mechanical strength crack stop
Grant 9,824,982 - Briggs , et al. November 21, 2
2017-11-21
Structure And Method For Maximizing Air Gap In Back End Of The Line Interconnect Through Via Landing Modification
App 20170317025 - Briggs; Benjamin D. ;   et al.
2017-11-02
High-density Mim Capacitors
App 20170301749 - Briggs; Benjamin D. ;   et al.
2017-10-19
Airgap Protection Layer For Via Alignment
App 20170301621 - Briggs; Benjamin D. ;   et al.
2017-10-19
Heterogeneous metallization using solid diffusion removal of metal interconnects
Grant 9,793,206 - Briggs , et al. October 17, 2
2017-10-17
Proximity Feedback For Medicine Identification
App 20170286633 - Ashoori; Maryam ;   et al.
2017-10-05
High-density Mim Capacitors
App 20170288011 - Briggs; Benjamin D. ;   et al.
2017-10-05
Medication Scheduling And Alerts
App 20170286632 - Ashoori; Maryam ;   et al.
2017-10-05
Structure and method for improved stabilization of cobalt cap and/or cobalt liner in interconnects
Grant 9,780,035 - Briggs , et al. October 3, 2
2017-10-03
Matching a spent firearm cartridge
Grant 9,778,007 - Briggs , et al. October 3, 2
2017-10-03
Method for Maximizing Air Gap in Back End of the Line Interconnect through Via Landing Modification
App 20170278796 - Briggs; Benjamin D. ;   et al.
2017-09-28
Structure And Method For Maximizing Air Gap In Back End Of The Line Interconnect Through Via Landing Modification
App 20170278788 - Briggs; Benjamin D. ;   et al.
2017-09-28
Structure And Method For Maximizing Air Gap In Back End Of The Line Interconnect Through Via Landing Modification
App 20170278740 - Briggs; Benjamin D. ;   et al.
2017-09-28
Security key system
Grant 9,760,817 - Briggs , et al. September 12, 2
2017-09-12
Smartwatch blackbox
Grant 9,758,095 - Briggs , et al. September 12, 2
2017-09-12
Hybrid Metal Interconnects With A Bamboo Grain Microstructure
App 20170256494 - Briggs; Benjamin D. ;   et al.
2017-09-07
Hybrid Metal Interconnects With A Bamboo Grain Microstructure
App 20170256495 - Briggs; Benjamin D. ;   et al.
2017-09-07
Hybrid metal interconnects with a bamboo grain microstructure
Grant 9,754,885 - Briggs , et al. September 5, 2
2017-09-05
Low-temperature diffusion doping of copper interconnects independent of seed layer composition
Grant 9,754,891 - Briggs , et al. September 5, 2
2017-09-05
Hybrid metal interconnects with a bamboo grain microstructure
Grant 9,754,883 - Briggs , et al. September 5, 2
2017-09-05
Structure, System, Method , And Recording Medium Of Implementing A Directed Self-assembled Security Pattern
App 20170249506 - Briggs; Benjamin David ;   et al.
2017-08-31
Uniform Dielectric Recess Depth During Fin Reveal
App 20170236717 - Briggs; Benjamin D. ;   et al.
2017-08-17
Uniform Dielectric Recess Depth During Fin Reveal
App 20170236756 - Briggs; Benjamin D. ;   et al.
2017-08-17
Self-forming Barrier For Cobalt Interconnects
App 20170236749 - Briggs; Benjamin D. ;   et al.
2017-08-17
Self-forming Barrier For Cobalt Interconnects
App 20170236781 - Briggs; Benjamin D. ;   et al.
2017-08-17
Security Key System
App 20170221285 - Briggs; Benjamin D. ;   et al.
2017-08-03
Security Key System
App 20170220915 - Briggs; Benjamin D. ;   et al.
2017-08-03
Smartwatch Blackbox
App 20170210288 - Briggs; Benjamin D. ;   et al.
2017-07-27
Cognitive System to Improve Athletic Performance with Motivation from Different Training Styles
App 20170213470 - Briggs; Benjamin D. ;   et al.
2017-07-27
Orator Effectiveness Through Real-Time Feedback System With Automatic Detection of Human Behavioral and Emotional States of Orator and Audience
App 20170169727 - Briggs; Benjamin D. ;   et al.
2017-06-15
Uniform dielectric recess depth during fin reveal
Grant 9,666,474 - Briggs , et al. May 30, 2
2017-05-30
Real-Time Harm Prevention Through Feedback System With Automatic Detection of Human Behavioral and Emotional States
App 20170140629 - Briggs; Benjamin D. ;   et al.
2017-05-18
Social Media Modification of Behavior and Mobile Screening for Impairment
App 20170134832 - Briggs; Benjamin D. ;   et al.
2017-05-11
Uniform Dielectric Recess Depth During Fin Reveal
App 20170125286 - Briggs; Benjamin D. ;   et al.
2017-05-04
Uniform Dielectric Recess Depth During Fin Reveal
App 20170125302 - Briggs; Benjamin D. ;   et al.
2017-05-04
Self Aligned Via And Pillar Cut For At Least A Self Aligned Double Pitch
App 20170117177 - Briggs; Benjamin D. ;   et al.
2017-04-27
Low-Temperature Diffusion Doping of Copper Interconnects Independent of Seed Layer Composition
App 20170084540 - Briggs; Benjamin D. ;   et al.
2017-03-23
Structure and method for BEOL nanoscale damascene sidewall-defined non-volatile memory element
Grant 9,583,498 - Briggs , et al. February 28, 2
2017-02-28
Structure and method for BEOL nanoscale damascene sidewall-defined non-volatile memory element
Grant 9,559,107 - Briggs , et al. January 31, 2
2017-01-31
Airgap protection layer for via alignment
Grant 9,553,019 - Briggs , et al. January 24, 2
2017-01-24
Self aligned via and pillar cut for at least a self aligned double pitch
Grant 9,548,243 - Briggs , et al. January 17, 2
2017-01-17
Self Aligned Via And Pillar Cut For At Least A Self Aligned Double Pitch
App 20170004996 - Briggs; Benjamin D. ;   et al.
2017-01-05
Structure And Method For Beol Nanoscale Damascene Sidewall-defined Non-volatile Memory Element
App 20160343723 - Briggs; Benjamin D. ;   et al.
2016-11-24
Structure And Method For Beol Nanoscale Damascene Sidewall-defined Non-volatile Memory Element
App 20160343721 - Briggs; Benjamin D. ;   et al.
2016-11-24
Fold Over Emitter And Collector Field Emission Transistor
App 20160307723 - Briggs; Benjamin D. ;   et al.
2016-10-20
Fold over emitter and collector field emission transistor
Grant 9,431,205 - Briggs , et al. August 30, 2
2016-08-30
Structure and fabrication method for electromigration immortal nanoscale interconnects
Grant 9,418,934 - Briggs , et al. August 16, 2
2016-08-16
Security key system
Grant 9,418,327 - Briggs , et al. August 16, 2
2016-08-16
Liquid-cooled Electronics Apparatus And Methods Of Fabrication
App 20110069454 - CAMPBELL; Levi A. ;   et al.
2011-03-24

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed