Patent | Date |
---|
Phase Change Memory App 20220310911 - Ok; Injo ;   et al. | 2022-09-29 |
Low-voltage flash memory integrated with a vertical field effect transistor Grant 11,456,308 - Hekmatshoartabari , et al. September 27, 2 | 2022-09-27 |
On-chip decoupling capacitor Grant 11,450,659 - Reznicek , et al. September 20, 2 | 2022-09-20 |
III-V lateral bipolar junction transistor on local facetted buried oxide layer Grant 11,444,185 - Hashemi , et al. September 13, 2 | 2022-09-13 |
Asymmetric threshold voltages in semiconductor devices Grant 11,444,165 - Ando , et al. September 13, 2 | 2022-09-13 |
III-V lateral bipolar junction transistor on local facetted buried oxide layer Grant 11,437,502 - Hashemi , et al. September 6, 2 | 2022-09-06 |
Nanosheet Metal-oxide Semiconductor Field Effect Transistor With Asymmetric Threshold Voltage App 20220278195 - Ando; Takashi ;   et al. | 2022-09-01 |
Confined work function material for gate-all around transistor devices Grant 11,430,660 - Zhang , et al. August 30, 2 | 2022-08-30 |
Setting an upper bound on RRAM resistance Grant 11,430,514 - Kim , et al. August 30, 2 | 2022-08-30 |
Stacked vertical tunnel FET methods Grant 11,424,361 - Balakrishnan , et al. August 23, 2 | 2022-08-23 |
Magnetoresistive random-access memory cell having a metal line connection Grant 11,424,403 - Xie , et al. August 23, 2 | 2022-08-23 |
Symmetric read operation resistive random-access memory cell with bipolar junction selector Grant 11,411,049 - Reznicek , et al. August 9, 2 | 2022-08-09 |
Magnetoresistive random-access memory device structure Grant 11,411,048 - Wu , et al. August 9, 2 | 2022-08-09 |
Wimpy vertical transport field effect transistor with dipole liners Grant 11,404,581 - Reznicek , et al. August 2, 2 | 2022-08-02 |
Antenna Assisted Reram Formation App 20220238803 - Kim; Youngseok ;   et al. | 2022-07-28 |
Transistor having forked nanosheets with wraparound contacts Grant 11,398,480 - Zhang , et al. July 26, 2 | 2022-07-26 |
Setting An Upper Bound On Rram Resistance App 20220223205 - Kim; Youngseok ;   et al. | 2022-07-14 |
Wraparound Contact To A Buried Power Rail App 20220223698 - Xie; Ruilong ;   et al. | 2022-07-14 |
Multi threshold voltage for nanosheet Grant 11,387,342 - Zhang , et al. July 12, 2 | 2022-07-12 |
Pillar bump with noble metal seed layer for advanced heterogeneous integration Grant 11,380,641 - Maniscalco , et al. July 5, 2 | 2022-07-05 |
Reducing parasitic bottom electrode resistance of embedded MRAM Grant 11,374,167 - Frougier , et al. June 28, 2 | 2022-06-28 |
Wimpy Vertical Transport Field Effect Transistor With Dipole Liners App 20220199834 - Reznicek; Alexander ;   et al. | 2022-06-23 |
Nanosheet Semiconductor Devices With N/p Boundary Structure App 20220199772 - Xie; Ruilong ;   et al. | 2022-06-23 |
Multi Threshold Voltage For Nanosheet App 20220199796 - Zhang; Jingyun ;   et al. | 2022-06-23 |
Symmetric Read Operation Resistive Random-access Memory Cell With Bipolar Junction Selector App 20220199688 - Reznicek; Alexander ;   et al. | 2022-06-23 |
Phase Change Memory Cell With Ovonic Threshold Switch App 20220190238 - Gong; Nanbo ;   et al. | 2022-06-16 |
Reduction Of Bottom Epitaxy Parasitics For Vertical Transport Field Effect Transistors App 20220190157 - LI; Tao ;   et al. | 2022-06-16 |
Enhanced State Dual Memory Cell App 20220189543 - Hekmatshoartabari; Bahman ;   et al. | 2022-06-16 |
Transistor having confined source/drain regions with wrap-around source/drain contacts Grant 11,362,194 - Reznicek , et al. June 14, 2 | 2022-06-14 |
Diode connected vertical transistor Grant 11,362,086 - Balakrishnan , et al. June 14, 2 | 2022-06-14 |
FeFET UNIT CELLS FOR NEUROMORPHIC COMPUTING App 20220180156 - Gong; Nanbo ;   et al. | 2022-06-09 |
Dual Resistive Random-access Memory With Two Transistors App 20220181388 - Reznicek; Alexander ;   et al. | 2022-06-09 |
High Density Reram Integration With Interconnect App 20220181389 - Ando; Takashi ;   et al. | 2022-06-09 |
Transistor Having Source Or Drain Formation Assistance Regions With Improved Bottom Isolation App 20220181213 - Xie; Ruilong ;   et al. | 2022-06-09 |
Multi-state Sot-mram Structure App 20220181544 - Wu; Heng ;   et al. | 2022-06-09 |
Resistive random access memory integrated under a vertical field effect transistor Grant 11,355,553 - Reznicek , et al. June 7, 2 | 2022-06-07 |
Vertical field effect transistor with bottom source-drain region Grant 11,355,633 - Reznicek , et al. June 7, 2 | 2022-06-07 |
Structure to enable titanium contact liner on pFET source/drain regions Grant 11,349,029 - Basker , et al. May 31, 2 | 2022-05-31 |
Nanosheet semiconductor devices with sigma shaped inner spacer Grant 11,348,999 - Reznicek , et al. May 31, 2 | 2022-05-31 |
Vertical Transport Cmos Transistors With Asymmetric Threshold Voltage App 20220165850 - Ando; Takashi ;   et al. | 2022-05-26 |
Resistive Memory Array App 20220165947 - Kim; Youngseok ;   et al. | 2022-05-26 |
Scalable vertical transistor bottom source-drain epitaxy Grant 11,335,804 - Yeh , et al. May 17, 2 | 2022-05-17 |
Uniform Voltage Drop in Arrays of Memory Devices App 20220149275 - Ok; Injo ;   et al. | 2022-05-12 |
Vertical Reconfigurable Field Effect Transistor App 20220149184 - Hekmatshoartabari; Bahman ;   et al. | 2022-05-12 |
Buried Power Rail For Scaled Vertical Transport Field Effect Transistor App 20220148969 - Xie; Ruilong ;   et al. | 2022-05-12 |
Secure Chip Identification Using Random Threshold Voltage Variation In A Field Effect Transistor Structure As A Physically Unclonable Function App 20220149183 - Oteri; Clint Jason ;   et al. | 2022-05-12 |
Wrap-around Bottom Contact For Bottom Source/drain App 20220149188 - Wang; Junli ;   et al. | 2022-05-12 |
Vertical transistor with body contact Grant 11,329,142 - Reznicek , et al. May 10, 2 | 2022-05-10 |
Pillar Bump With Noble Metal Seed Layer For Advanced Heterogeneous Integration App 20220139858 - Maniscalco; Joseph F. ;   et al. | 2022-05-05 |
Single process for liner and metal fill Grant 11,322,359 - Adusumilli , et al. May 3, 2 | 2022-05-03 |
Vertical Transistor Including Symmetrical Source/drain Extension Junctions App 20220130980 - Yeh; Chun-Chen ;   et al. | 2022-04-28 |
Oxygen Vacancy Passivation In High-k Dielectrics For Vertical Transport Field Effect Transistor App 20220130732 - Lee; Choonghyun ;   et al. | 2022-04-28 |
Stacked nanosheet rom Grant 11,315,938 - Reznicek , et al. April 26, 2 | 2022-04-26 |
Stacked nanosheet inverter Grant 11,315,923 - Reznicek , et al. April 26, 2 | 2022-04-26 |
Gate-all-around Field-effect Transistor With Asymmetric Threshold Voltage App 20220123144 - Zhang; Jingyun ;   et al. | 2022-04-21 |
Wrap Around Contact Process Margin Improvement With Early Contact Cut App 20220123116 - Xie; Ruilong ;   et al. | 2022-04-21 |
Large grain copper interconnect lines for MRAM Grant 11,309,216 - Reznicek , et al. April 19, 2 | 2022-04-19 |
FinFET with dual work function metal Grant 11,302,794 - Xie , et al. April 12, 2 | 2022-04-12 |
Wrap around contact for nanosheet source drain epitaxy Grant 11,302,813 - Reznicek , et al. April 12, 2 | 2022-04-12 |
Low-voltage Flash Memory Integrated With A Vertical Field Effect Transistor App 20220108997 - Hekmatshoartabari; Bahman ;   et al. | 2022-04-07 |
Partial Self-Aligned Contact for MOL App 20220108923 - Xie; Ruilong ;   et al. | 2022-04-07 |
Transistor having source or drain formation assistance regions with improved bottom isolation Grant 11,295,983 - Xie , et al. April 5, 2 | 2022-04-05 |
Semiconductor FET device with bottom isolation and high-.kappa. first Grant 11,295,988 - Xie , et al. April 5, 2 | 2022-04-05 |
Forming source and drain regions for sheet transistors Grant 11,289,484 - Zhang , et al. March 29, 2 | 2022-03-29 |
Vertical Transistor Floating Body One Transistor Dram Memory Cell App 20220093794 - Reznicek; Alexander ;   et al. | 2022-03-24 |
Heterojunction bipolar transistor with a silicon oxide layer on a silicon germanium base Grant 11,282,947 - Ok , et al. March 22, 2 | 2022-03-22 |
Stacked Nanosheet Inverter App 20220085013 - Reznicek; Alexander ;   et al. | 2022-03-17 |
Gate metal patterning to avoid gate stack attack due to excessive wet etching Grant 11,276,576 - Wang , et al. March 15, 2 | 2022-03-15 |
Low-noise gate-all-around junction field effect transistor Grant 11,271,108 - Hekmatshoartabari , et al. March 8, 2 | 2022-03-08 |
Reduction of bottom epitaxy parasitics for vertical transport field effect transistors Grant 11,271,107 - Li , et al. March 8, 2 | 2022-03-08 |
Interconnect structure with partial sidewall liner Grant 11,270,910 - Reznicek , et al. March 8, 2 | 2022-03-08 |
Heterojunction Bipolar Transistor With A Silicon Oxide Layer On A Silicon Germanium Base App 20220069109 - Ok; Injo ;   et al. | 2022-03-03 |
Vertical Field Effect Transistor With Self-aligned Source And Drain Top Junction App 20220059677 - Xie; Ruilong ;   et al. | 2022-02-24 |
Stacked Field Effect Transistor With Wrap-around Contacts App 20220052047 - Xie; Ruilong ;   et al. | 2022-02-17 |
Oxygen vacancy passivation in high-k dielectrics for vertical transport field effect transistor Grant 11,251,094 - Lee , et al. February 15, 2 | 2022-02-15 |
Cross-bar vertical transport field effect transistors without corner rounding Grant 11,251,301 - Kang , et al. February 15, 2 | 2022-02-15 |
Stacked complementary junction FETs for analog electronic circuits Grant 11,251,185 - Balakrishnan , et al. February 15, 2 | 2022-02-15 |
Wrap-around bottom contact for bottom source/drain Grant 11,251,304 - Wang , et al. February 15, 2 | 2022-02-15 |
Asymmetric channel FinFETs with wrap around channel Grant 11,245,009 - Reznicek , et al. February 8, 2 | 2022-02-08 |
Reducing parasitic capacitance within semiconductor devices Grant 11,244,864 - Xie , et al. February 8, 2 | 2022-02-08 |
Gate last vertical transport field effect transistor Grant 11,245,025 - Lee , et al. February 8, 2 | 2022-02-08 |
Local Isolation Of Source/drain For Reducing Parasitic Capacitance In Vertical Field Effect Transistors App 20220037210 - Xie; Ruilong ;   et al. | 2022-02-03 |
Physical unclonable function for MRAM structures Grant 11,239,414 - Xie , et al. February 1, 2 | 2022-02-01 |
Partial self-aligned contact for MOL Grant 11,239,115 - Xie , et al. February 1, 2 | 2022-02-01 |
Vertical transistor including symmetrical source/drain extension junctions Grant 11,239,343 - Yeh , et al. February 1, 2 | 2022-02-01 |
Fabricating a gate-all-around (GAA) field effect transistor having threshold voltage asymmetry by thinning source side lateral end portion of the nanosheet layer Grant 11,239,359 - Zhang , et al. February 1, 2 | 2022-02-01 |
Transistors and methods of forming transistors using vertical nanowires Grant 11,233,137 - Schepis , et al. January 25, 2 | 2022-01-25 |
Multilayered magnetic free layer structure in magnetic tunnel junction arrays for sub-micrometer resolution pressure sensors Grant 11,226,252 - Mehta , et al. January 18, 2 | 2022-01-18 |
Sloped epitaxy buried contact Grant 11,227,922 - Li , et al. January 18, 2 | 2022-01-18 |
Wrap around contact process margin improvement with early contact cut Grant 11,227,923 - Xie , et al. January 18, 2 | 2022-01-18 |
Resistive random access memory cells integrated with shared-gate vertical field effect transistors Grant 11,222,922 - Reznicek , et al. January 11, 2 | 2022-01-11 |
Field-effect transistor devices with sidewall implant under bottom dielectric isolation Grant 11,222,979 - Miao , et al. January 11, 2 | 2022-01-11 |
Stacked Vertical Transport Field Effect Transistor Electrically Erasable Programmable Read Only Memory (eeprom) Devices App 20220005936 - Balakrishnan; Karthik ;   et al. | 2022-01-06 |
Strained Semiconductor FET Devices with Epitaxial Quality Improvement App 20220005951 - Wu; Heng ;   et al. | 2022-01-06 |
Gate Induced Drain Leakage Reduction In Finfets App 20220005941 - Reznicek; Alexander ;   et al. | 2022-01-06 |
Sloped Epitaxy Buried Contact App 20210399098 - Li; Tao ;   et al. | 2021-12-23 |
Vtfet With Cell Height Constraints App 20210399131 - Wu; Heng ;   et al. | 2021-12-23 |
Multiple work function nanosheet transistors with inner spacer modulation Grant 11,205,698 - Ando , et al. December 21, 2 | 2021-12-21 |
Vertical field effect transistor with reduced parasitic capacitance Grant 11,205,728 - Lee , et al. December 21, 2 | 2021-12-21 |
Vertical Transport Field-effect Transistor Structure Having Increased Effective Width And Self-aligned Anchor For Source/drain Region Formation App 20210391444 - Xie; Ruilong ;   et al. | 2021-12-16 |
Semiconductor FET Device with Bottom Isolation and High-k First App 20210391222 - Xie; Ruilong ;   et al. | 2021-12-16 |
Vertical field effect transistor and method of manufacturing a vertical field effect transistor Grant 11,201,241 - Lee , et al. December 14, 2 | 2021-12-14 |
Stacked field effect transistor with wrap-around contacts Grant 11,201,153 - Xie , et al. December 14, 2 | 2021-12-14 |
Structure to enable titanium contact liner on pFET source/drain regions Grant 11,201,242 - Basker , et al. December 14, 2 | 2021-12-14 |
Gate channel length control in VFET Grant 11,201,092 - Ok , et al. December 14, 2 | 2021-12-14 |
Low forming voltage non-volatile memory (NVM) Grant 11,196,000 - Kim , et al. December 7, 2 | 2021-12-07 |
Interconnect Structure with Partial Sidewall Liner App 20210375671 - Reznicek; Alexander ;   et al. | 2021-12-02 |
Transistor Having Source Or Drain Formation Assistance Regions With Improved Bottom Isolation App 20210375685 - Xie; Ruilong ;   et al. | 2021-12-02 |
Formation of vertical transport field-effect transistor structure having increased effective width Grant 11,189,712 - Xie , et al. November 30, 2 | 2021-11-30 |
Bipolar junction transistor with vertically integrated resistor Grant 11,189,701 - Reznicek , et al. November 30, 2 | 2021-11-30 |
VTFET with cell height constraints Grant 11,189,725 - Wu , et al. November 30, 2 | 2021-11-30 |
Superhydrophobic electrode and biosensing device using the same Grant 11,187,672 - Afzali-Ardakani , et al. November 30, 2 | 2021-11-30 |
FinFET 2T2R RRAM Grant 11,189,661 - Reznicek , et al. November 30, 2 | 2021-11-30 |
Reduced Source/drain Coupling For Cfet App 20210366782 - Xie; Ruilong ;   et al. | 2021-11-25 |
Self-aligned edge passivation for robust resistive random access memory connection Grant 11,183,632 - Ando , et al. November 23, 2 | 2021-11-23 |
Nanosheet transistor having partially self-limiting bottom isolation extending into the substrate and under the source/drain and gate regions Grant 11,183,558 - Yeh , et al. November 23, 2 | 2021-11-23 |
Transistor Having Forked Nanosheets With Wraparound Contacts App 20210358911 - Zhang; Jingyun ;   et al. | 2021-11-18 |
Semiconductor device including physical unclonable function Grant 11,177,225 - Hekmatshoartabari , et al. November 16, 2 | 2021-11-16 |
Vertical field effect transistor with self-aligned source and drain top junction Grant 11,177,370 - Xie , et al. November 16, 2 | 2021-11-16 |
Stacked vertical transport field effect transistor electrically erasable programmable read only memory (EEPROM) devices Grant 11,177,372 - Balakrishnan , et al. November 16, 2 | 2021-11-16 |
Gate induced drain leakage reduction in FinFETs Grant 11,177,366 - Reznicek , et al. November 16, 2 | 2021-11-16 |
Stacked nanosheet CFET with gate all around structure Grant 11,177,258 - Xie , et al. November 16, 2 | 2021-11-16 |
Stacked Fet Multiply And Accumulate Integrated Circuit App 20210349691 - Hekmatshoartabari; Bahman ;   et al. | 2021-11-11 |
Analog Nonvolatile Memory Cells Using Dopant Activation App 20210343358 - Wu; Heng ;   et al. | 2021-11-04 |
Reduced source/drain coupling for CFET Grant 11,164,793 - Xie , et al. November 2, 2 | 2021-11-02 |
Transistor having in-situ doped nanosheets with gradient doped channel regions Grant 11,164,960 - Zhang , et al. November 2, 2 | 2021-11-02 |
Two-stage top source drain epitaxy formation for vertical field effect transistors enabling gate last formation Grant 11,164,787 - Reznicek , et al. November 2, 2 | 2021-11-02 |
Self-aligned gate contact compatible cross couple contact formation Grant 11,164,782 - Xie , et al. November 2, 2 | 2021-11-02 |
Replacement bottom electrode structure process to form misalignment tolerate MRAM with high yield Grant 11,165,017 - Hashemi , et al. November 2, 2 | 2021-11-02 |
Complementary field-effect transistors Grant 11,164,792 - Xie , et al. November 2, 2 | 2021-11-02 |
Mram Structure With Ternary Weight Storage App 20210336128 - Reznicek; Alexander ;   et al. | 2021-10-28 |
Field-Effect Transistor Devices with Sidewall Implant Under Bottom Dielectric Isolation App 20210336056 - Miao; Xin ;   et al. | 2021-10-28 |
Transistor Having In-situ Doped Nanosheets With Gradient Doped Channel Regions App 20210336038 - Zhang; Jingyun ;   et al. | 2021-10-28 |
Wrap-around Bottom Contact For Bottom Source/drain App 20210336046 - Wang; Junli ;   et al. | 2021-10-28 |
Stacked vertical transport field effect transistor electrically erasable programmable read only memory (EEPROM) devices Grant 11,158,729 - Balakrishnan , et al. October 26, 2 | 2021-10-26 |
Vertical FET with asymmetric threshold voltage and channel thicknesses Grant 11,158,715 - Lee , et al. October 26, 2 | 2021-10-26 |
Interconnect structures with cobalt-infused ruthenium liner and a cobalt cap Grant 11,158,538 - Maniscalco , et al. October 26, 2 | 2021-10-26 |
Nanosheet device integrated with a FINFET transistor Grant 11,158,636 - Yeh , et al. October 26, 2 | 2021-10-26 |
FinFET radiation dosimeter Grant 11,158,756 - Reznicek , et al. October 26, 2 | 2021-10-26 |
Multiple Work Function Nanosheet Transistors With Inner Spacer Modulation App 20210328013 - Ando; Takashi ;   et al. | 2021-10-21 |
Reducing Parasitic Capacitance Within Semiconductor Devices App 20210327762 - Xie; Ruilong ;   et al. | 2021-10-21 |
Asymmetric Channel Finfets With Wrap Around Channel App 20210328017 - Reznicek; Alexander ;   et al. | 2021-10-21 |
Long channel optimization for gate-all-around transistors Grant 11,152,510 - Zhang , et al. October 19, 2 | 2021-10-19 |
Vertical transistors with buried metal silicide bottom contact Grant 11,152,478 - Cheng , et al. October 19, 2 | 2021-10-19 |
Multi-Vt scheme with same dipole thickness for gate-all-around transistors Grant 11,152,264 - Zhang , et al. October 19, 2 | 2021-10-19 |
Local isolation of source/drain for reducing parasitic capacitance in vertical field effect transistors Grant 11,152,265 - Xie , et al. October 19, 2 | 2021-10-19 |
Low-noise Gate-all-around Junction Field Effect Transistor App 20210320205 - Hekmatshoartabari; Bahman ;   et al. | 2021-10-14 |
EEPROM cell and array having stacked nanosheet field effect transistors with a common floating gate Grant 11,145,668 - Reznicek , et al. October 12, 2 | 2021-10-12 |
Resistive random access memory cells integrated with vertical field effect transistor Grant 11,145,816 - Reznicek , et al. October 12, 2 | 2021-10-12 |
Analog nonvolatile memory cells using dopant activation Grant 11,145,380 - Wu , et al. October 12, 2 | 2021-10-12 |
Laser Anneal For Mram Encapsulation Enhancement App 20210313511 - Rizzolo; Michael ;   et al. | 2021-10-07 |
HALF BURIED nFET/pFET EPITAXY SOURCE/DRAIN STRAP App 20210313252 - Zhang; Jingyun ;   et al. | 2021-10-07 |
Reduction Of Bottom Epitaxy Parasitics For Vertical Transport Field Effect Transistors App 20210305424 - LI; Tao ;   et al. | 2021-09-30 |
Late Gate Cut With Optimized Contact Trench Size App 20210305093 - Reznicek; Alexander ;   et al. | 2021-09-30 |
Physical Unclonable Function For Mram Structures App 20210305499 - Xie; Ruilong ;   et al. | 2021-09-30 |
Late gate cut with optimized contact trench size Grant 11,133,217 - Reznicek , et al. September 28, 2 | 2021-09-28 |
Reduced Source/drain Coupling For Cfet App 20210296184 - Xie; Ruilong ;   et al. | 2021-09-23 |
Magnetoresistive Random-access Memory Device App 20210296574 - Reznicek; Alexander ;   et al. | 2021-09-23 |
Finfet With Dual Work Function Metal App 20210296463 - Xie; Ruilong ;   et al. | 2021-09-23 |
On-chip Decoupling Capacitor App 20210288046 - Reznicek; Alexander ;   et al. | 2021-09-16 |
Cross-bar Vertical Transport Field Effect Transistors Without Corner Rounding App 20210288181 - KANG; Tsung-Sheng ;   et al. | 2021-09-16 |
Low Voltage/power Junction Fet With All-around Junction Gate App 20210288187 - Reznicek; Alexander ;   et al. | 2021-09-16 |
Nanosheet Semiconductor Devices With Sigma Shaped Inner Spacer App 20210288141 - Reznicek; Alexander ;   et al. | 2021-09-16 |
Resistive Random Access Memory Integrated With Stacked Vertical Transistors App 20210288109 - Balakrishnan; Karthik ;   et al. | 2021-09-16 |
MRAM integration into the MOL for fast 1T1M cells Grant 11,121,174 - Reznicek , et al. September 14, 2 | 2021-09-14 |
Reducing Parasitic Bottom Electrode Resistance Of Embedded Mram App 20210280776 - Frougier; Julien ;   et al. | 2021-09-09 |
Double magnetic tunnel junction device, formed by UVH wafer bonding Grant 11,114,607 - Reznicek , et al. September 7, 2 | 2021-09-07 |
MRAM devices containing a harden gap fill dielectric material Grant 11,114,606 - Reznicek , et al. September 7, 2 | 2021-09-07 |
Nanosecond non-destructively erasable magnetoresistive random-access memory Grant 11,114,146 - Reznicek , et al. September 7, 2 | 2021-09-07 |
Stacked Vertical Tunnel Fet Methods App 20210272857 - Balakrishnan; Karthik ;   et al. | 2021-09-02 |
Vertical Field Effect Transistor With Self-aligned Source And Drain Top Junction App 20210273077 - Xie; Ruilong ;   et al. | 2021-09-02 |
Vertical Field Effect Transistor With Low-resistance Bottom Source-drain Contact App 20210273115 - Lee; Choonghyun ;   et al. | 2021-09-02 |
Half buried nFET/pFET epitaxy source/drain strap Grant 11,107,752 - Zhang , et al. August 31, 2 | 2021-08-31 |
Magnetoresistive Random-access Memory With Metal Interconnects App 20210265559 - Xie; Ruilong ;   et al. | 2021-08-26 |
Wrap Around Contact Process Margin Improvement With Early Contact Cut App 20210265470 - Xie; Ruilong ;   et al. | 2021-08-26 |
Stacked Field Effect Transistor With Wrap-around Contacts App 20210265348 - Xie; Ruilong ;   et al. | 2021-08-26 |
Magnetoresistive Random-access Memory Device Structure App 20210265422 - Wu; Heng ;   et al. | 2021-08-26 |
Stacked Nanosheet CFET with Gate All Around Structure App 20210265345 - Xie; Ruilong ;   et al. | 2021-08-26 |
Vertical Transistor Including Symmetrical Source/drain Extension Junctions App 20210265488 - Yeh; Chun-Chen ;   et al. | 2021-08-26 |
Nanosheet gated diode Grant 11,101,374 - Reznicek , et al. August 24, 2 | 2021-08-24 |
Cross-point multilayer stackable ferroelectric field-effect transistor random access memory Grant 11,101,290 - Reznicek , et al. August 24, 2 | 2021-08-24 |
Buried power rail for transistor devices Grant 11,101,217 - Xie , et al. August 24, 2 | 2021-08-24 |
Stacked vertical tunnel FET devices Grant 11,094,819 - Balakrishnan , et al. August 17, 2 | 2021-08-17 |
Nanosheet device with tall suspension and tight contacted gate poly-pitch Grant 11,094,803 - Xie , et al. August 17, 2 | 2021-08-17 |
Stress induction in 3D device channel using elastic relaxation of high stress material Grant 11,094,823 - Cheng , et al. August 17, 2 | 2021-08-17 |
Oxygen Vacancy Passivation In High-k Dielectrics For Vertical Transport Field Effect Transistor App 20210249315 - Lee; Choonghyun ;   et al. | 2021-08-12 |
Temperature Assisted Programming Of Flash Memory For Neuromorphic Computing App 20210249081 - Gong; Nanbo ;   et al. | 2021-08-12 |
Nanosheet Transistor Having Partially Self-limiting Bottom Isolation Extending Into The Substrate And Under The Source/drain And Gate Regions App 20210249506 - Yeh; Chun-Chen ;   et al. | 2021-08-12 |
Transistor and method of forming same Grant 11,088,280 - Basker , et al. August 10, 2 | 2021-08-10 |
Asymmetric threshold voltage VTFET with intrinsic dual channel epitaxy Grant 11,088,139 - Lee , et al. August 10, 2 | 2021-08-10 |
High-density field-enhanced ReRAM integrated with vertical transistors Grant 11,088,205 - Ando , et al. August 10, 2 | 2021-08-10 |
Wimpy device by selective laser annealing Grant 11,088,026 - Cheng , et al. August 10, 2 | 2021-08-10 |
Stacked-nanosheet semiconductor structures with support structures Grant 11,088,288 - Xie , et al. August 10, 2 | 2021-08-10 |
Semiconductor Device Including Physical Unclonable Function App 20210242142 - Hekmatshoartabari; Bahman ;   et al. | 2021-08-05 |
Interconnect Structures With Cobalt-infused Ruthenium Liner And A Cobalt Cap App 20210242082 - Maniscalco; Joseph F. ;   et al. | 2021-08-05 |
Buried MIM capacitor structure with landing pads Grant 11,081,542 - Reznicek , et al. August 3, 2 | 2021-08-03 |
Source/drain for gate-all-around devices Grant 11,081,404 - Zhang , et al. August 3, 2 | 2021-08-03 |
Resistor loaded inverter structures Grant 11,081,569 - Balakrishnan , et al. August 3, 2 | 2021-08-03 |
Multi-spheroid BEOL capacitor Grant 11,081,543 - Reznicek , et al. August 3, 2 | 2021-08-03 |
Large Grain Copper Interconnect Lines For Mram App 20210233812 - Reznicek; Alexander ;   et al. | 2021-07-29 |
Nanosheet Device Integrated With A Finfet Transistor App 20210233910 - Yeh; Chun-Chen ;   et al. | 2021-07-29 |
Nanosheet Device With Tall Suspension And Tight Contacted Gate Poly-pitch App 20210234018 - Xie; Ruilong ;   et al. | 2021-07-29 |
Stacked Resistive Memory With Individual Switch Control App 20210233960 - Ando; Takashi ;   et al. | 2021-07-29 |
Resistive memory cell structure Grant 11,075,338 - Ando , et al. July 27, 2 | 2021-07-27 |
Nanosheet electrostatic discharge structure Grant 11,075,273 - Reznicek , et al. July 27, 2 | 2021-07-27 |
Nanosheet with buried gate contact Grant 11,075,301 - Zhang , et al. July 27, 2 | 2021-07-27 |
Spin-orbit-torque magneto-resistive random access memory with stepped bottom electrode Grant 11,075,334 - Reznicek , et al. July 27, 2 | 2021-07-27 |
Transistor Having Confined Source/drain Regions With Wrap-around Source/drain Contacts App 20210226032 - Reznicek; Alexander ;   et al. | 2021-07-22 |
Vertical transistor with eDRAM Grant 11,069,688 - Reznicek July 20, 2 | 2021-07-20 |
Laser anneal for MRAM encapsulation enhancement Grant 11,069,854 - Rizzolo , et al. July 20, 2 | 2021-07-20 |
Soi FinFET fins with recessed fins and epitaxy in source drain region Grant 11,069,809 - Reznicek , et al. July 20, 2 | 2021-07-20 |
Stacked field effect transistors with reduced coupling effect Grant 11,069,684 - Xie , et al. July 20, 2 | 2021-07-20 |
Reduction Of Drain Leakage In Nanosheet Device App 20210217846 - Hashemi; Pouya ;   et al. | 2021-07-15 |
Gate Induced Drain Leakage Reduction In Finfets App 20210217876 - Reznicek; Alexander ;   et al. | 2021-07-15 |
Nanosheet radiation dosimeter Grant 11,061,146 - Yau , et al. July 13, 2 | 2021-07-13 |
Vertical transistors having uniform channel length Grant 11,062,955 - Lee , et al. July 13, 2 | 2021-07-13 |
Vertical transistors with top spacers Grant 11,063,134 - Zhang , et al. July 13, 2 | 2021-07-13 |
Self-aligned Gate Contact Compatible Cross Couple Contact Formation App 20210210384 - Xie; Ruilong ;   et al. | 2021-07-08 |
Vtfet With Cell Height Constraints App 20210210633 - Wu; Heng ;   et al. | 2021-07-08 |
Forming Source And Drain Regions For Sheet Transistors App 20210210489 - Zhang; Jingyun ;   et al. | 2021-07-08 |
Vertical Field Effect Transistor And Method Of Manufacturing A Vertical Field Effect Transistor App 20210210634 - Lee; Choonghyun ;   et al. | 2021-07-08 |
HALF BURIED nFET/pFET EPITAXY SOURCE/DRAIN STRAP App 20210210413 - Zhang; Jingyun ;   et al. | 2021-07-08 |
MULTI-Vt SCHEME WITH SAME DIPOLE THICKNESS FOR GATE-ALL-AROUND TRANSISTORS App 20210210388 - Zhang; Jingyun ;   et al. | 2021-07-08 |
Complementary Field-effect Transistors App 20210210349 - Xie; Ruilong ;   et al. | 2021-07-08 |
Scalable Vertical Transistor Bottom Source-drain Epitaxy App 20210210631 - Yeh; Chun-Chen ;   et al. | 2021-07-08 |
Fishbone Long Channel Nanosheet Device App 20210210637 - Zhang; Jingyun ;   et al. | 2021-07-08 |
Vertical Field Effect Transistor With Bottom Source-drain Region App 20210210632 - Reznicek; Alexander ;   et al. | 2021-07-08 |
Two-dimensional (2D) self-aligned contact (or via) to enable further device scaling Grant 11,056,386 - Wang , et al. July 6, 2 | 2021-07-06 |
Tri-layer Sti Liner For Nanosheet Leakage Control App 20210202325 - Lee; Choonghyun ;   et al. | 2021-07-01 |
Nanosheet With Buried Gate Contact App 20210202749 - Zhang; Jingyun ;   et al. | 2021-07-01 |
Wrap Around Contact For Nanosheet Source Drain Epitaxy App 20210193829 - Reznicek; Alexander ;   et al. | 2021-06-24 |
Two-stage Top Source Drain Epitaxy Formation For Vertical Field Effect Transistors Enabling Gate Last Formation App 20210193527 - Reznicek; Alexander ;   et al. | 2021-06-24 |
Self-Aligned Edge Passivation For Robust Resistive Random Access Memory Connection App 20210193920 - Ando; Takashi ;   et al. | 2021-06-24 |
Resistive Random Access Memory Cells Integrated With Vertical Field Effect Transistor App 20210193923 - Reznicek; Alexander ;   et al. | 2021-06-24 |
Resistive Random Access Memory Cells Integrated With Shared-gate Vertical Field Effect Transistors App 20210193737 - Reznicek; Alexander ;   et al. | 2021-06-24 |
Vertical field effect transistor with low-resistance bottom source-drain contact Grant 11,043,598 - Lee , et al. June 22, 2 | 2021-06-22 |
Fabrication of vertical fin transistor with multiple threshold voltages Grant 11,043,587 - Balakrishnan , et al. June 22, 2 | 2021-06-22 |
Minimizing Shorting Between Finfet Epitaxial Regions App 20210183856 - Cheng; Kangguo ;   et al. | 2021-06-17 |
Magnetic structures with tapered edges Grant 11,038,097 - Doris , et al. June 15, 2 | 2021-06-15 |
Stacked resistive memory with individual switch control Grant 11,037,986 - Ando , et al. June 15, 2 | 2021-06-15 |
Tightly integrated 1T1R ReRAM for planar technology Grant 11,038,103 - Reznicek , et al. June 15, 2 | 2021-06-15 |
Resistive Random Access Memory Integrated Under A Vertical Field Effect Transistor App 20210175285 - Reznicek; Alexander ;   et al. | 2021-06-10 |
Stacked Vertical Tunnel Fet Devices App 20210175354 - Balakrishnan; Karthik ;   et al. | 2021-06-10 |
Multiple gate length vertical field-effect-transistors Grant 11,031,297 - Balakrishnan , et al. June 8, 2 | 2021-06-08 |
Resistive Random Access Memory Integrated With Vertical Transport Field Effect Transistors App 20210167129 - Reznicek; Alexander ;   et al. | 2021-06-03 |
Forming an MRAM device over a transistor Grant 11,024,670 - Reznicek , et al. June 1, 2 | 2021-06-01 |
Asymmetric channel threshold voltage Grant 11,024,740 - Lee , et al. June 1, 2 | 2021-06-01 |
Double Magnetic Tunnel Junction Device, Formed By Uvh Wafer Bonding App 20210159389 - Reznicek; Alexander ;   et al. | 2021-05-27 |
Spin-orbit-torque Magneto-resistive Random Access Memory With Stepped Bottom Electrode App 20210159390 - Reznicek; Alexander ;   et al. | 2021-05-27 |
Resistance Tunable Fuse Structure Formed By Embedded Thin Metal Layers App 20210159173 - REZNICEK; Alexander ;   et al. | 2021-05-27 |
Forming An Mram Device Over A Transistor App 20210159271 - Reznicek; Alexander ;   et al. | 2021-05-27 |
Mram Integration Into The Mol For Fast 1t1m Cells App 20210159270 - Reznicek; Alexander ;   et al. | 2021-05-27 |
Nanosecond Non-destructively Erasable Magnetoresistive Random-access Memory App 20210158850 - Reznicek; Alexander ;   et al. | 2021-05-27 |
Three-dimensional stackable multi-layer cross-point memory with bipolar junction transistor selectors Grant 11,018,188 - Reznicek , et al. May 25, 2 | 2021-05-25 |
Fabrication of vertical fin transistor with multiple threshold voltages Grant 11,018,254 - Balakrishnan , et al. May 25, 2 | 2021-05-25 |
Nanosheet Transistors With Inner Airgaps App 20210151556 - Wu; Heng ;   et al. | 2021-05-20 |
Stacked Vertical Transistor Erasable Programmable Read-only Memory And Programmable Inverter Devices App 20210151449 - Reznicek; Alexander ;   et al. | 2021-05-20 |
Faceted sidewall magnetic tunnel junction structure Grant 11,011,697 - van der Straten , et al. May 18, 2 | 2021-05-18 |
Asymmetric gate edge spacing for SRAM structures Grant 11,011,528 - Reznicek , et al. May 18, 2 | 2021-05-18 |
Partial Self-Aligned Contact for MOL App 20210134671 - Xie; Ruilong ;   et al. | 2021-05-06 |
Low Forming Voltage Non-volatile Memory (nvm) App 20210135107 - Kim; Youngseok ;   et al. | 2021-05-06 |
Stacked FinFET masked-programmable ROM Grant 10,998,444 - Reznicek , et al. May 4, 2 | 2021-05-04 |
Mechanically stable complementary field effect transistors Grant 10,998,233 - Xie , et al. May 4, 2 | 2021-05-04 |
Single crystalline extrinsic bases for bipolar junction structures Grant 10,998,419 - Hashemi , et al. May 4, 2 | 2021-05-04 |
Strained silicon complementary metal oxide semiconductor including a silicon containing tensile n-type fin field effect transistor and silicon containing compressive p-type fin field effect transistor formed using a dual relaxed substrate Grant 10,998,441 - Cheng , et al. May 4, 2 | 2021-05-04 |
Finfet Device With Partial Interface Dipole Formation For Reduction Of Gate Induced Drain Leakage App 20210126122 - Ando; Takashi ;   et al. | 2021-04-29 |
Stacked-nanosheet semiconductor structures Grant 10,991,711 - Reznicek , et al. April 27, 2 | 2021-04-27 |
Fabrication of vertical fin transistor with multiple threshold voltages Grant 10,991,823 - Balakrishnan , et al. April 27, 2 | 2021-04-27 |
Confined Work Function Material For Gate-all Around Transistor Devices App 20210118683 - Zhang; Jingyun ;   et al. | 2021-04-22 |
Vertical Transistor With Body Contact App 20210119018 - Reznicek; Alexander ;   et al. | 2021-04-22 |
Series Connected Stacked Vertical Transistors For High Voltage Applications App 20210119045 - Reznicek; Alexander ;   et al. | 2021-04-22 |
Stackable Symmetrical Operation Memory Bit Cell Structure With Bidirectional Selectors App 20210118951 - Reznicek; Alexander ;   et al. | 2021-04-22 |
Asymmetric Threshold Voltages In Semiconductor Devices App 20210111255 - Ando; Takashi ;   et al. | 2021-04-15 |
Tri-layer STI liner for nanosheet leakage control Grant 10,978,356 - Lee , et al. April 13, 2 | 2021-04-13 |
Resistive Switching Device Having Controlled Filament Formation App 20210104664 - Reznicek; Alexander ;   et al. | 2021-04-08 |
Dual function magnetic tunnel junction pillar encapsulation Grant 10,971,675 - Nguyen , et al. April 6, 2 | 2021-04-06 |
Stacked FinFET EEPROM Grant 10,964,709 - Balakrishnan , et al. March 30, 2 | 2021-03-30 |
Mram Devices Containing A Harden Gap Fill Dielectric Material App 20210091302 - Reznicek; Alexander ;   et al. | 2021-03-25 |
Resistance Tunable Fuse Structure Formed By Embedded Thin Metal Layers App 20210090996 - REZNICEK; Alexander ;   et al. | 2021-03-25 |
Magnetic Structures With Tapered Edges App 20210091300 - Doris; Bruce B. ;   et al. | 2021-03-25 |
Epitaxial oxide fin segments to prevent strained semiconductor fin end relaxation Grant 10,957,694 - Balakrishnan , et al. March 23, 2 | 2021-03-23 |
Electrical isolation for nanosheet transistor devices Grant 10,957,761 - Yeh , et al. March 23, 2 | 2021-03-23 |
Series connected stacked vertical transistors for high voltage applications Grant 10,957,797 - Reznicek , et al. March 23, 2 | 2021-03-23 |
Resistance tunable fuse structure formed by embedded thin metal layers Grant 10,957,642 - Reznicek , et al. March 23, 2 | 2021-03-23 |
Vertical transistor based radiation dosimeter Grant 10,957,707 - Yau , et al. March 23, 2 | 2021-03-23 |
Stacked-nanosheet Semiconductor Structures With Support Structures App 20210083127 - Xie; Ruilong ;   et al. | 2021-03-18 |
Finfet Radiation Dosimeter App 20210083139 - Reznicek; Alexander ;   et al. | 2021-03-18 |
ILD gap fill for memory device stack array Grant 10,950,549 - Seo , et al. March 16, 2 | 2021-03-16 |
FinFET 2T2R RRAM App 20210074765 - Reznicek; Alexander ;   et al. | 2021-03-11 |
Nanoscale magnetic tunnel junction arrays for sub-micrometer resolution pressure sensor Grant 10,942,072 - Kothandaraman , et al. March 9, 2 | 2021-03-09 |
Confined work function material for gate-all around transistor devices Grant 10,943,787 - Zhang , et al. March 9, 2 | 2021-03-09 |
Asymmetric threshold voltage VTFET with intrinsic dual channel epitaxy Grant 10,943,903 - Lee , et al. March 9, 2 | 2021-03-09 |
Area-efficient inverter using stacked vertical transistors Grant 10,944,012 - Reznicek , et al. March 9, 2 | 2021-03-09 |
Nanosheet substrate isolated source/drain epitaxy via airgap Grant 10,937,862 - Reznicek , et al. March 2, 2 | 2021-03-02 |
Fabricating embedded magnetoresistive random access memory device with v-shaped magnetic tunnel junction profile Grant 10,937,828 - Hashemi , et al. March 2, 2 | 2021-03-02 |
Fabrication of perfectly symmetric gate-all-around FET on suspended nanowire using interface interaction Grant 10,937,863 - Cheng , et al. March 2, 2 | 2021-03-02 |
Lateral bipolar junction transistor with dual base region Grant 10,937,898 - Hashemi , et al. March 2, 2 | 2021-03-02 |
Twin gate field effect diode Grant 10,937,903 - Balakrishnan , et al. March 2, 2 | 2021-03-02 |
Vertical transport FETs having a gradient threshold voltage Grant 10,937,883 - Lee , et al. March 2, 2 | 2021-03-02 |
Nanosheet eDRAM Grant 10,937,789 - Reznicek , et al. March 2, 2 | 2021-03-02 |
Vertical Transport Field-effect Transistor Structure Having Increased Effective Width And Self-aligned Anchor For Source/drain Region Formation App 20210057568 - Xie; Ruilong ;   et al. | 2021-02-25 |
Method of forming a vertical transistor pass gate device Grant 10,930,779 - Balakrishnan , et al. February 23, 2 | 2021-02-23 |
Minimizing shorting between FinFET epitaxial regions Grant 10,923,471 - Cheng , et al. February 16, 2 | 2021-02-16 |
Stacked FinFET mask-programmable read only memory containing spaced apart upper and lower threshold voltage setting layers Grant 10,916,552 - Reznicek , et al. February 9, 2 | 2021-02-09 |
Body contact in fin field effect transistor design Grant 10,916,651 - Reznicek , et al. February 9, 2 | 2021-02-09 |
Nanosheet-CMOS EPROM device with epitaxial oxide charge storage region Grant 10,916,629 - Reznicek , et al. February 9, 2 | 2021-02-09 |
Tight integrated vertical transistor dual diode structure for electrostatic discharge circuit protector Grant 10,916,537 - Balakrishnan , et al. February 9, 2 | 2021-02-09 |
Asymmetric threshold voltage FinFET device by partial channel doping variation Grant 10,916,659 - Reznicek , et al. February 9, 2 | 2021-02-09 |
Local Isolation Of Source/drain For Reducing Parasitic Capacitance In Vertical Field Effect Transistors App 20210035867 - Xie; Ruilong ;   et al. | 2021-02-04 |
Nanosheet transistors with inner airgaps Grant 10,910,470 - Wu , et al. February 2, 2 | 2021-02-02 |
Stackable symmetrical operation memory bit cell structure with bidirectional selectors Grant 10,910,435 - Reznicek , et al. February 2, 2 | 2021-02-02 |
Three-dimensional stackable multi-layer cross-point memory with single-crystalline bipolar junction transistor selectors Grant 10,903,275 - Hekmatshoartabari , et al. January 26, 2 | 2021-01-26 |
Sub-fin doped bulk fin field effect transistor (FinFET), Integrated Circuit (IC) and method of manufacture Grant 10,903,210 - Balakrishnan , et al. January 26, 2 | 2021-01-26 |
Vertically integrated memory cells with complementary pass transistor selectors Grant 10,903,360 - Hekmatshoartabari , et al. January 26, 2 | 2021-01-26 |
Dual surface charge sensing biosensor Grant 10,900,952 - Reznicek , et al. January 26, 2 | 2021-01-26 |
MTJ containing device with replacement top electrode Grant 10,903,417 - Hashemi , et al. January 26, 2 | 2021-01-26 |
Nanosheet Transistors With Inner Airgaps App 20210020741 - Wu; Heng ;   et al. | 2021-01-21 |
Vertical transistor with body contact fabrication Grant 10,896,971 - Reznicek , et al. January 19, 2 | 2021-01-19 |
Asymmetric threshold voltages in semiconductor devices Grant 10,896,962 - Ando , et al. January 19, 2 | 2021-01-19 |
Stacked vertical transistor erasable programmable read-only memory and programmable inverter devices Grant 10,896,912 - Reznicek , et al. January 19, 2 | 2021-01-19 |
Bipolar junction transistor (BJT) for liquid flow biosensing applications without a reference electrode and large sensing area Grant 10,892,346 - Reznicek , et al. January 12, 2 | 2021-01-12 |
Wrap-around-contact structure for top source/drain in vertical FETS Grant 10,892,336 - Lee , et al. January 12, 2 | 2021-01-12 |
Formation of self-limited inner spacer for gate-all-around nanosheet FET Grant 10,886,369 - Zhang , et al. January 5, 2 | 2021-01-05 |
Semiconductor structures having increased channel strain using fin release in gate regions Grant 10,886,385 - Cheng , et al. January 5, 2 | 2021-01-05 |
I/O device scheme for gate-all-around transistors Grant 10,886,368 - Zhang , et al. January 5, 2 | 2021-01-05 |
Memory structure including gate controlled three-terminal metal oxide components Grant 10,886,333 - Hekmatshoartabari , et al. January 5, 2 | 2021-01-05 |
Nanosheet one transistor dynamic random access device with silicon/silicon germanium channel and common gate structure Grant 10,886,275 - Reznicek , et al. January 5, 2 | 2021-01-05 |
Close proximity and lateral resistance reduction for bottom source/drain epitaxy in vertical transistor devices Grant 10,886,403 - Reznicek , et al. January 5, 2 | 2021-01-05 |
Buried Power Rail For Transistor Devices App 20200411436 - Xie; Ruilong ;   et al. | 2020-12-31 |
Two-dimensional (2d) Self-aligned Contact (or Via) To Enable Further Device Scaling App 20200411376 - Wang; Junli ;   et al. | 2020-12-31 |
Vertical transport Fin field effect transistors combined with resistive memory structures Grant 10,879,311 - Lee , et al. December 29, 2 | 2020-12-29 |
Vertically stacked nFETs and pFETs with gate-all-around structure Grant 10,879,352 - Zhang , et al. December 29, 2 | 2020-12-29 |
Stacked nanosheet 4T2R unit cell for neuromorphic computing Grant 10,879,308 - Ando , et al. December 29, 2 | 2020-12-29 |
Stacked Resistive Memory With Individual Switch Control App 20200403034 - Ando; Takashi ;   et al. | 2020-12-24 |
Stacked-nanosheet Semiconductor Structures App 20200402984 - Reznicek; Alexander ;   et al. | 2020-12-24 |
Vertical Fet With Asymmetric Threshold Voltage And Channel Thicknesses App 20200403065 - Lee; Choonghyun ;   et al. | 2020-12-24 |
Nanosheet substrate isolated source/drain epitaxy by counter-doped bottom epitaxy Grant 10,872,953 - Reznicek , et al. December 22, 2 | 2020-12-22 |
Asymmetric Threshold Voltages In Semiconductor Devices App 20200381520 - Ando; Takashi ;   et al. | 2020-12-03 |
Three-dimensional Stackable Multi-layer Cross-point Memory With Bipolar Junction Transistor Selectors App 20200381480 - Reznicek; Alexander ;   et al. | 2020-12-03 |
Three-dimensional Stackable Multi-layer Cross-point Memory With Single-crystalline Bipolar Junction Transistor Selectors App 20200381481 - Hekmatshoartabari; Bahman ;   et al. | 2020-12-03 |
Resistive Memory Cell Structure App 20200373482 - Ando; Takashi ;   et al. | 2020-11-26 |
Asymmetric Channel Threshold Voltage App 20200373429 - Lee; Choonghyun ;   et al. | 2020-11-26 |
Vertical Field Effect Transistor With Reduced Parasitic Capacitance App 20200373434 - Lee; Choonghyun ;   et al. | 2020-11-26 |
Dual Surface Charge Sensing Biosensor App 20200363393 - Reznicek; Alexander ;   et al. | 2020-11-19 |
Nanosheet device with close source drain proximity Grant 10,840,360 - Basker , et al. November 17, 2 | 2020-11-17 |
Tri-layer Sti Liner For Nanosheet Leakage Control App 20200357703 - Lee; Choonghyun ;   et al. | 2020-11-12 |
Gate Last Vertical Transport Field Effect Transistor App 20200357898 - Lee; Choonghyun ;   et al. | 2020-11-12 |
Asymmetric Gate Edge Spacing For Sram Structures App 20200357805 - Reznicek; Alexander ;   et al. | 2020-11-12 |
Single column compound semiconductor bipolar junction transistor with all-around base Grant 10,833,181 - Balakrishnan , et al. November 10, 2 | 2020-11-10 |
Formation of dislocation-free SiGe finFET using porous silicon Grant 10,833,175 - Bedell , et al. November 10, 2 | 2020-11-10 |
Airgap isolation for backend embedded memory stack pillar arrays Grant 10,832,941 - Seo , et al. November 10, 2 | 2020-11-10 |
Substantially defect free relaxed heterogeneous semiconductor fins on bulk substrates Grant 10,833,192 - Basker , et al. November 10, 2 | 2020-11-10 |
Confined source drain epitaxy to reduce shorts in CMOS integrated circuits Grant 10,833,198 - Xie , et al. November 10, 2 | 2020-11-10 |
Vertical field effect transistor with top and bottom airgap spacers Grant 10,833,155 - Yeh , et al. November 10, 2 | 2020-11-10 |
Copper Metallization Fill App 20200350201 - Motoyama; Koichi ;   et al. | 2020-11-05 |
Source/drain For Gate-all-around Devices App 20200350215 - Zhang; Jingyun ;   et al. | 2020-11-05 |
Lateral bipolar junction transistor with controlled junction Grant 10,825,921 - Balakrishnan , et al. November 3, 2 | 2020-11-03 |
Vertical transport field-effect transistor including dual layer top spacer Grant 10825916 - | 2020-11-03 |
Vertical Transistor Based Radiation Dosimeter App 20200343257 - Yau; Jeng-Bang ;   et al. | 2020-10-29 |
Cross-point Multilayer Stackable Ferroelectric Field-effect Transistor Random Access Memory App 20200343266 - Reznicek; Alexander ;   et al. | 2020-10-29 |
VTFET having a V-shaped groove at the top junction region Grant 10818753 - | 2020-10-27 |
Vertical Transistors With Top Spacers App 20200335605 - Zhang; Jingyun ;   et al. | 2020-10-22 |
Simultaneously fabricating a high voltage transistor and a FinFET Grant 10811410 - | 2020-10-20 |
Vertical Transistors Having Uniform Channel Length App 20200312722 - Lee; Choonghyun ;   et al. | 2020-10-01 |
Gate Channel Length Control In Vfet App 20200312723 - Ok; Injo ;   et al. | 2020-10-01 |
Stackable Symmetrical Operation Memory Bit Cell Structure With Bidirectional Selectors App 20200312906 - Reznicek; Alexander ;   et al. | 2020-10-01 |
Electrical Isolation For Nanosheet Transistor Devices App 20200312956 - Yeh; Chun-chen ;   et al. | 2020-10-01 |
Airgap Isolation For Backend Embedded Memory Stack Pillar Arrays App 20200312704 - Seo; Soon-Cheon ;   et al. | 2020-10-01 |
Series Connected Stacked Vertical Transistors For High Voltage Applications App 20200312999 - Reznicek; Alexander ;   et al. | 2020-10-01 |
VFET with channel profile control using selective GE oxidation and drive-out Grant 10790357 - | 2020-09-29 |
Stacked Vertical Transistor Erasable Programmable Read-only Memory And Programmable Inverter Devices App 20200303388 - Reznicek; Alexander ;   et al. | 2020-09-24 |
Vertical Field Effect Transistor With Top And Bottom Airgap Spacers App 20200303497 - Yeh; Chun-Chen ;   et al. | 2020-09-24 |
Vtfet Having A V-shaped Groove At The Top Junction Region App 20200303503 - Lee; Choonghyun ;   et al. | 2020-09-24 |
BEOL embedded high density vertical resistor structure Grant 10784194 - | 2020-09-22 |
Confined Source Drain Epitaxy To Reduce Shorts In Cmos Integrated Circuits App 20200295200 - Xie; Ruilong ;   et al. | 2020-09-17 |
Replacement Bottom Electrode Structure Process To Form Misalignment Tolerate Mram With High Yield App 20200295256 - Hashemi; Pouya ;   et al. | 2020-09-17 |
Low voltage (power) junction FET with all-around junction gate Grant 10777555 - | 2020-09-15 |
Low thermal budget top source and drain region formation for vertical transistors Grant 10777464 - | 2020-09-15 |
Mechanically Stable Complementary Field Effect Transistors App 20200286788 - Xie; Ruilong ;   et al. | 2020-09-10 |
Phase Change Memory Structure With Efficient Heating System App 20200287134 - Ok; Injo ;   et al. | 2020-09-10 |
I/o Device For Gate-all-around Transistors App 20200279777 - Zhang; Jingyun ;   et al. | 2020-09-03 |
Memory Structure Including Gate Controlled Three-terminal Metal Oxide Components App 20200279888 - Hekmatshoartabari; Bahman ;   et al. | 2020-09-03 |
I/O device for gate-all-around transistors Grant 10763177 - | 2020-09-01 |
Effective junction formation in vertical transistor structures by engineered bottom source/drain epitaxy Grant 10763343 - | 2020-09-01 |
Vertical Transistor With Body Contact App 20200273967 - Reznicek; Alexander ;   et al. | 2020-08-27 |
Confined Work Function Material For Gate-all Around Transistor Devices App 20200273710 - Zhang; Jingyun ;   et al. | 2020-08-27 |
Single Process For Liner And Metal Fill App 20200273708 - Adusumilli; Praneet ;   et al. | 2020-08-27 |
Gate metal patterning for tight pitch applications Grant 10755985 - | 2020-08-25 |
Stacked vertical transistor-based mask-programmable ROM Grant 10756097 - | 2020-08-25 |
Conformal capacitor structure formed by a single process Grant 10756163 - | 2020-08-25 |
Nanosheet mosfet with isolated source/drain epitaxy and close junction proximity Grant 10756216 - | 2020-08-25 |
Vertically stacked nFET and pFET with dual work function Grant 10748994 - | 2020-08-18 |
Vertical Transport Fin Field Effect Transistors Combined With Resistive Memory Structures App 20200258941 - A1 | 2020-08-13 |
Mutliple Dielectrics For Gate-all-around Transistors App 20200258786 - A1 | 2020-08-13 |
HIGH PERCENTAGE SILICON GERMANIUM GRADED BUFFER LAYERS WITH LATTICE MATCHED Ga(As1-yPy) INTERLAYERS App 20200258986 - A1 | 2020-08-13 |
Stacked Finfet Masked-programmable Rom App 20200259013 - A1 | 2020-08-13 |
FinFET fuses formed at tight pitch dimensions Grant 10741492 - | 2020-08-11 |
Thin-base high frequency lateral bipolar junction transistor Grant 10741645 - | 2020-08-11 |
Resistive memory with amorphous silicon filaments Grant 10741754 - | 2020-08-11 |
Wrap-around-contact structure for top source/drain in vertical FETs Grant 10741652 - | 2020-08-11 |
High percentage silicon germanium graded buffer layers with lattice matched Ga(As-P) interlayers Grant 10741387 - | 2020-08-11 |
Vfet With Channel Profile Control Using Selective Ge Oxidation And Drive-out App 20200251558 - Kind Code | 2020-08-06 |
Nanosheet One Transistor Dynamic Random Access Device With Silicon/silicon Germanium Channel And Common Gate Structure App 20200251474 - Kind Code | 2020-08-06 |
Mtj Containing Device With Replacement Top Electrode App 20200251652 - Kind Code | 2020-08-06 |
ReRAM structure formed by a single process Grant 10734575 - | 2020-08-04 |
Multiple dielectrics for gate-all-around transistors Grant 10734286 - | 2020-08-04 |
Field-effect transistor unit cells for neural networks with differential weights Grant 10734447 - | 2020-08-04 |
FinFET CMOS with asymmetric gate threshold voltage Grant 10734479 - | 2020-08-04 |
Lateral bipolar junction transistor with dual base region Grant 10734505 - | 2020-08-04 |
Nanosheet Radiation Dosimeter App 20200241149 - Yau; Jeng-Bang ;   et al. | 2020-07-30 |
Liner-less contact metallization Grant 10727070 - | 2020-07-28 |
Removal Of Work Function Metal Wing To Improve Device Yield In Vertical Fets App 20200235238 - Lee; Choonghyun ;   et al. | 2020-07-23 |
Finfet Cmos With Asymmetric Gate Threshold Voltage App 20200235209 - Reznicek; Alexander ;   et al. | 2020-07-23 |
Vertical transistors having a layer of charge carriers in the extension region for reduced extension region resistance Grant 10720502 - | 2020-07-21 |
Multilayered Magnetic Free Layer Structure In Magnetic Tunnel Junction Arrays For Sub-micrometer Resolution Pressure Sensors App 20200217735 - Mehta; Virat Vasav ;   et al. | 2020-07-09 |
Vertically stacked nFET and pFET with dual work function Grant 10707304 - | 2020-07-07 |
FinFET with epitaxial source and drain regions and dielectric isolated channel region Grant 10707332 - | 2020-07-07 |
Area-efficient Inverter Using Stacked Vertical Transistors App 20200212226 - Reznicek; Alexander ;   et al. | 2020-07-02 |
Tilted Nanowire Transistor App 20200212228 - Hashemi; Pouya ;   et al. | 2020-07-02 |
HIGH-DENSITY FIELD-ENHANCED ReRAM INTEGRATED WITH VERTICAL TRANSISTORS App 20200203428 - Ando; Takashi ;   et al. | 2020-06-25 |
Single process for linear and metal fill Grant 10692722 - | 2020-06-23 |
Body Contact In Fin Field Effect Transistor Design App 20200185516 - Reznicek; Alexander ;   et al. | 2020-06-11 |
Transistors And Methods Of Forming Transistors Using Vertical Nanowires App 20200185510 - SCHEPIS; Dominic J. ;   et al. | 2020-06-11 |
Transistor structure with varied gate cross-sectional area Grant 10680085 - | 2020-06-09 |
Nanosheet structure with isolated gate Grant 10679890 - | 2020-06-09 |
Integrated Ferroelectric Capacitor/ Field Effect Transistor Structure App 20200176586 - Ando; Takashi ;   et al. | 2020-06-04 |
Vertical Field Effect Transistor With Low-resistance Bottom Source-drain Contact App 20200176611 - Lee; Choonghyun ;   et al. | 2020-06-04 |
One-time-programmable memory in a high-density three-dimensional structure Grant 10672490 - | 2020-06-02 |
Biconvex low resistance metal wire Grant 10665541 - | 2020-05-26 |
Nanoscale Magnetic Tunnel Junction Arrays For Sub-micrometer Resolution Pressure Sensor App 20200158582 - Kothandaraman; Chandrasekharan ;   et al. | 2020-05-21 |
Ild Gap Fill For Memory Device Stack Array App 20200161250 - Seo; Soon-Cheon ;   et al. | 2020-05-21 |
Stacked Finfet Programmable Inverter (eprom) App 20200161323 - Reznicek; Alexander ;   et al. | 2020-05-21 |
Stacked electrostatic discharge diode structures Grant 10658353 - | 2020-05-19 |
Vertical Transport Fets With Asymmetric Channel Profiles Using Dipole Layers App 20200152762A1 - | 2020-05-14 |
Stacked Nanosheet Technology With Uniform Vth Control App 20200152737A1 - | 2020-05-14 |
Faceted Sidewall Magnetic Tunnel Junction Structure App 20200152860A1 - | 2020-05-14 |
Diode Connected Vertical Transistor App 20200152624A1 - | 2020-05-14 |
Vertical Transport Field-effect Transistor Including Dual Layer Top Spacer App 20200152766 - Jagannathan; Hemanth ;   et al. | 2020-05-14 |
TIGHTLY INTEGRATED 1T1R ReRAM FOR PLANAR TECHNOLOGY App 20200152866A1 - | 2020-05-14 |
Salicide bottom contacts Grant 10651042 - | 2020-05-12 |
High density antifuse co-integrated with vertical FET Grant 10651123 - | 2020-05-12 |
Low thermal budget top source and drain region formation for vertical transistors Grant 10651089 - | 2020-05-12 |
Superhydrophobic Electrode And Biosensing Device Using The Same App 20200141899A1 - | 2020-05-07 |
Structure and method for tensile and compressive strained silicon germanium with same germanium concentration by single epitaxy step Grant 10643907 - | 2020-05-05 |
Stacked Finfet Read Only Memory App 20200135747A1 - | 2020-04-30 |
Stacked FinFET programmable inverter (EPROM) Grant 10636804 - | 2020-04-28 |
Three-dimensionally Stretchable Single Crystalline Semiconductor Membrane App 20200123677A1 - | 2020-04-23 |
Wimpy Device By Selective Laser Annealing App 20200126862A1 - | 2020-04-23 |
Field-effect Transistor Unit Cells For Neural Networks With Differential Weights App 20200127054A1 - | 2020-04-23 |
STRUCTURE TO ENABLE TITANIUM CONTACT LINER ON pFET SOURCE/DRAIN REGIONS App 20200127132A1 - | 2020-04-23 |
Vertical Transistors Having A Layer Of Charge Carriers In The Extension Region For Reduced Extension Region Resistance App 20200127104A1 - | 2020-04-23 |
Body contact in Fin field effect transistor design Grant 10629730 - | 2020-04-21 |
Buried Mim Capacitor Structure With Landing Pads App 20200119136A1 - | 2020-04-16 |
Fabricating Embedded Magnetoresistive Random Access Memory Device With V Shaped Magnetic Tunnel Junction Profile App 20200119088A1 - | 2020-04-16 |
Laser Anneal For Mram Encapsulation Enhancement App 20200119263A1 - | 2020-04-16 |
Dual metal nitride landing pad for MRAM devices Grant 10622406 - | 2020-04-14 |
Tilted nanowire transistor Grant 10622486 - | 2020-04-14 |
Asymmetric Threshold Voltage Vtfet With Intrinsic Dual Channel Epitaxy App 20200111788A1 - | 2020-04-09 |
Full Air-gap Spacers For Gate-all-around Nanosheet Field Effect Transistors App 20200111886A1 - | 2020-04-09 |
Asymmetric Threshold Voltage Vtfet With Intrinsic Dual Channel Epitaxy App 20200111787A1 - | 2020-04-09 |
Full Air-gap Spacers For Gate-all-around Nanosheet Field Effect Transistors App 20200105896A1 - | 2020-04-02 |
Gate-all-around Field-effect Transistor With Asymmetric Threshold Voltage App 20200105929A1 - | 2020-04-02 |
Precise/designable FinFET resistor structure Grant 10600860 - | 2020-03-24 |