loadpatents
name:-0.067373991012573
name:-0.16567492485046
name:-0.019214868545532
Rath; David L. Patent Filings

Rath; David L.

Patent Applications and Registrations

Patent applications and USPTO patent grants for Rath; David L..The latest application filed is for "sacrificial material facilitating protection of a substrate in a qubit device".

Company Profile
14.65.63
  • Rath; David L. - Stormville NY
  • - Stormville NY US
  • Rath; David L. - Yorktown Heights NY
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
High throughput insulation of 3D in-silicon high volumetric energy and power dense energy storage devices
Grant 11,316,154 - Collins , et al. April 26, 2
2022-04-26
Sacrificial Material Facilitating Protection Of A Substrate In A Qubit Device
App 20220123195 - Adiga; Vivekananda P. ;   et al.
2022-04-21
Additive core subtractive liner for metal cut etch processes
Grant 11,276,767 - Bao , et al. March 15, 2
2022-03-15
Metal Surface Protection
App 20210394229 - Afzali-Ardakani; Ali ;   et al.
2021-12-23
Additive core subtractive liner for metal cut etch processes
Grant 11,152,489 - Bao , et al. October 19, 2
2021-10-19
Transmon qubits with self defined junctions
Grant 11,094,873 - Adiga , et al. August 17, 2
2021-08-17
Additive core subtractive liner for metal cut etch processes
Grant 11,075,281 - Bao , et al. July 27, 2
2021-07-27
Microfabricated Air Bridges For Quantum Circuits
App 20210217947 - Adiga; Vivekananda P. ;   et al.
2021-07-15
High Throughput Insulation Of 3d In-silicon High Volumetric Energy And Power Dense Energy Storage Devices
App 20210167370 - Collins; John ;   et al.
2021-06-03
Selective etch formulation for silicon oxide
Grant 11,024,512 - Afzali-Ardakani , et al. June 1, 2
2021-06-01
Transmon Qubits With Self Defined Junctions
App 20210151659 - Adiga; Vivekananda ;   et al.
2021-05-20
Low Aspect Ratio Interconnect
App 20200328156 - Briggs; Benjamin D. ;   et al.
2020-10-15
Low aspect ratio interconnect
Grant 10,672,707 - Briggs , et al.
2020-06-02
Interconnect structures with fully aligned vias
Grant 10,607,933 - Edelstein , et al.
2020-03-31
Additive core subtractive liner for metal cut etch processes
Grant 10,600,884 - Bao , et al.
2020-03-24
Additive Core Subtractive Liner For Metal Cut Etch Processes
App 20200083350 - Bao; Ruqiang ;   et al.
2020-03-12
Additive Core Subtractive Liner For Metal Cut Etch Processes
App 20200083349 - Bao; Ruqiang ;   et al.
2020-03-12
Interconnect Structures With Fully Aligned Vias
App 20190157201 - Edelstein; Daniel C. ;   et al.
2019-05-23
Low Aspect Ratio Interconnect
App 20190148296 - Briggs; Benjamin D. ;   et al.
2019-05-16
Wet etch removal of Ru selective to other metals
Grant 10,242,909 - Briggs , et al.
2019-03-26
Low aspect ratio interconnect
Grant 10,211,153 - Briggs , et al. Feb
2019-02-19
Interconnect structures with fully aligned vias
Grant 10,204,856 - Edelstein , et al. Feb
2019-02-12
Processes for uniform metal semiconductor alloy formation for front side contact metallization and photovoltaic device formed therefrom
Grant 10,170,644 - Fisher , et al. J
2019-01-01
WET ETCH REMOVAL OF Ru SELECTIVE TO OTHER METALS
App 20180323151 - Briggs; Benjamin D. ;   et al.
2018-11-08
Semiconductor device formed by wet etch removal of Ru selective to other metals
Grant 10,090,247 - Briggs , et al. October 2, 2
2018-10-02
Additive Core Subtractive Liner For Metal Cut Etch Processes
App 20180269306 - Bao; Ruqiang ;   et al.
2018-09-20
Additive Core Subtractive Liner For Metal Cut Etch Processes
App 20180269305 - Bao; Ruqiang ;   et al.
2018-09-20
Self aligned contact structure
Grant 10,074,562 - Orozco-Teran , et al. September 11, 2
2018-09-11
Patterning magnetic films using self-stop electro-etching
Grant 10,049,802 - O'Sullivan , et al. August 14, 2
2018-08-14
Enhanced defect reduction for heteroepitaxy by seed shape engineering
Grant 10,043,663 - Cheng , et al. August 7, 2
2018-08-07
Selective and non-selective barrier layer wet removal
Grant 10,002,831 - Briggs , et al. June 19, 2
2018-06-19
Barrier Planarization For Interconnect Metallization
App 20180114718 - Briggs; Benjamin D. ;   et al.
2018-04-26
Barrier Planarization For Interconnect Metallization
App 20180114719 - Briggs; Benjamin D. ;   et al.
2018-04-26
Patterning Magnetic Films Using Self-stop Electro-etching
App 20180102207 - O'Sullivan; Eugene J. ;   et al.
2018-04-12
Interconnect Structures With Fully Aligned Vias
App 20180102317 - Edelstein; Daniel C. ;   et al.
2018-04-12
Interconnect structures with fully aligned vias
Grant 9,911,690 - Edelstein , et al. March 6, 2
2018-03-06
Low Aspect Ratio Interconnect
App 20180061761 - Briggs; Benjamin D. ;   et al.
2018-03-01
Barrier planarization for interconnect metallization
Grant 9,881,833 - Briggs , et al. January 30, 2
2018-01-30
Selective And Non-selective Barrier Layer Wet Removal
App 20170317026 - Briggs; Benjamin D. ;   et al.
2017-11-02
Selective and non-selective barrier layer wet removal
Grant 9,806,023 - Briggs , et al. October 31, 2
2017-10-31
Selective And Non-selective Barrier Layer Wet Removal
App 20170301624 - Briggs; Benjamin D. ;   et al.
2017-10-19
Patterning magnetic films using self-stop electro-etching
Grant 9,735,224 - O'Sullivan , et al. August 15, 2
2017-08-15
Processes For Uniform Metal Semiconductor Alloy Formation For Front Side Contact Metallization And Photovoltaic Device Formed Therefrom
App 20170200838 - Fisher; Kathryn C. ;   et al.
2017-07-13
Selective and non-selective barrier layer wet removal
Grant 9,685,406 - Briggs , et al. June 20, 2
2017-06-20
Enhanced Defect Reduction For Heteroepitaxy By Seed Shape Engineering
App 20170140919 - Cheng; Cheng-Wei ;   et al.
2017-05-18
Processes for uniform metal semiconductor alloy formation for front side contact metallization and photovoltaic device formed therefrom
Grant 9,608,134 - Fisher , et al. March 28, 2
2017-03-28
Enhanced defect reduction for heteroepitaxy by seed shape engineering
Grant 9,564,494 - Cheng , et al. February 7, 2
2017-02-07
Self-aligned contact structure
Grant 9,548,244 - Orozco-Teran , et al. January 17, 2
2017-01-17
Self Aligned Contact Structure
App 20160336266 - Orozco-Teran; Rosa A. ;   et al.
2016-11-17
Interconnect Structures With Fully Aligned Vias
App 20160163640 - Edelstein; Daniel C. ;   et al.
2016-06-09
Interconnect structures with fully aligned vias
Grant 9,324,650 - Edelstein , et al. April 26, 2
2016-04-26
Multimetal Interlayer Interconnects
App 20160071791 - Huang; Elbert E. ;   et al.
2016-03-10
Interconnect Structures With Fully Aligned Vias
App 20160049364 - Edelstein; Daniel C. ;   et al.
2016-02-18
Self-aligned contact structure
Grant 9,252,053 - Orozco-Teran , et al. February 2, 2
2016-02-02
Self-aligned Contact Structure
App 20150371948 - Orozco-Teran; Rosa A. ;   et al.
2015-12-24
Selective Etch Chemistry For Gate Electrode Materials
App 20150275376 - Fitzsimmons; John A. ;   et al.
2015-10-01
Self-aligned Contact Structure
App 20150200137 - Orozco-Teran; Rosa A. ;   et al.
2015-07-16
Selective etch chemistry for gate electrode materials
Grant 9,070,625 - Fitzsimmons , et al. June 30, 2
2015-06-30
Processes For Uniform Metal Semiconductor Alloy Formation For Front Side Contact Metallization And Photovoltaic Device Formed Therefrom
App 20150136228 - Fisher; Kathryn C. ;   et al.
2015-05-21
Processes for uniform metal semiconductor alloy formation for front side contact metallization and photovoltaic device formed therefrom
Grant 8,969,122 - Fisher , et al. March 3, 2
2015-03-03
Bonding of substrates including metal-dielectric patterns with metal raised above dielectric and structures so formed
Grant 8,927,087 - Chen , et al. January 6, 2
2015-01-06
Titanium-Nitride Removal
App 20140312265 - Chen; Shyng-Tsong ;   et al.
2014-10-23
Self-aligned contacts
Grant 8,853,076 - Fan , et al. October 7, 2
2014-10-07
Titanium-nitride removal
Grant 8,835,326 - Fitzsimmons , et al. September 16, 2
2014-09-16
Bonding Of Substrates Including Metal-dielectric Patterns With Metal Raised Above Dielectric And Structures So Formed
App 20140097543 - Chen; Kuan-Neng ;   et al.
2014-04-10
Self-aligned Contacts
App 20140070282 - Fan; Su Chen ;   et al.
2014-03-13
Bonding of substrates including metal-dielectric patterns with metal raised above dielectric and structures so formed
Grant 8,617,689 - Chen , et al. December 31, 2
2013-12-31
Bonding of substrates including metal-dielectric patterns with metal raised above dielectric and structures so formed
Grant 08617689 -
2013-12-31
Bonding Of Substrates Including Metal-dielectric Patterns With Metal Raised Above Dielectric And Structures So Formed
App 20130307139 - Chen; Kuan-Neng ;   et al.
2013-11-21
Titanium Nitride Removal
App 20130200040 - Fitzsimmons; John A. ;   et al.
2013-08-08
Selective Etch Chemistry For Gate Electrode Materials
App 20130203231 - Fitzsimmons; John A. ;   et al.
2013-08-08
Homogeneous porous low dielectric constant materials
Grant 8,492,239 - Bruce , et al. July 23, 2
2013-07-23
Titanium-Nitride Removal
App 20130171829 - Fitzsimmons; John A. ;   et al.
2013-07-04
Homogeneous Porous Low Dielectric Constant Materials
App 20120329273 - Bruce; Robert L. ;   et al.
2012-12-27
Processes For Uniform Metal Semiconductor Alloy Formation For Front Side Contact Metallization And Photovoltaic Device Formed Therefrom
App 20120318341 - Fisher; Kathryn C. ;   et al.
2012-12-20
Capping of copper interconnect lines in integrated circuit devices
Grant 8,298,948 - Bonilla , et al. October 30, 2
2012-10-30
Bonding of substrates including metal-dielectric patterns with metal raised above dielectric
Grant 8,241,995 - Chen , et al. August 14, 2
2012-08-14
Method For Patterning Magnetic Films
App 20110272287 - Duch; Elizabeth A. ;   et al.
2011-11-10
Air gap structure having protective metal silicide pads on a metal feature
Grant 8,003,520 - Bonilla , et al. August 23, 2
2011-08-23
Method for fabricating self-aligned nanostructure using self-assembly block copolymers, and structures fabricated therefrom
Grant 7,993,816 - Black , et al. August 9, 2
2011-08-09
Gap free anchored conductor and dielectric structure and method for fabrication thereof
Grant 7,985,928 - Bolom , et al. July 26, 2
2011-07-26
Method for kinetically controlled etching of copper
Grant 7,976,723 - Rath , et al. July 12, 2
2011-07-12
Conductor-dielectric structure and method for fabricating
Grant 7,960,276 - Ponoth , et al. June 14, 2
2011-06-14
Capping of Copper Interconnect Lines in Integrated Circuit Devices
App 20110108990 - Bonilla; Griselda ;   et al.
2011-05-12
Air Gap Structure Having Protective Metal Silicide Pads On A Metal Feature
App 20110092067 - Bonilla; Griselda ;   et al.
2011-04-21
Air gap structure having protective metal silicide pads on a metal feature
Grant 7,884,477 - Bonilla , et al. February 8, 2
2011-02-08
Bonding Of Substrates Including Metal-dielectric Patterns With Metal Raised Above Dielectric
App 20100255262 - Chen; Kuan-Neng ;   et al.
2010-10-07
Oxidant and passivant composition and method for use in treating a microelectronic structure
Grant 7,670,497 - Fitzsimmons , et al. March 2, 2
2010-03-02
Method For Fabricating Self-aligned Nanostructure Using Self-assembly Block Copolymers, And Structures Fabricated Therefrom
App 20090233236 - Black; Charles T. ;   et al.
2009-09-17
Gap Free Anchored Conductor And Dielectric Structure And Method For Fabrication Thereof
App 20090151981 - Bolom; Tibor ;   et al.
2009-06-18
Air Gap Structure Having Protective Metal Silicide Pads On A Metal Feature
App 20090140428 - Bonilla; Griselda ;   et al.
2009-06-04
Method for isotropic etching of copper
Grant 7,537,709 - Cooper , et al. May 26, 2
2009-05-26
Interconnect Structure And Method Of Making Same
App 20090127711 - Bonilla; Griselda ;   et al.
2009-05-21
Structure and method of chemically formed anchored metallic vias
Grant 7,517,736 - Mehta , et al. April 14, 2
2009-04-14
Oxidant And Passivant Composition And Method For Use In Treating A Microelectronic Structure
App 20090008361 - Fitzsimmons; John A. ;   et al.
2009-01-08
Method For Kinetically Controlled Etching Of Copper
App 20080286701 - Rath; David L. ;   et al.
2008-11-20
Structure And Method Of Chemically Formed Anchored Metallic Vias
App 20080012142 - Mehta; Sanjay C. ;   et al.
2008-01-17
Maintaining uniform CMP hard mask thickness
Grant 7,253,098 - Chen , et al. August 7, 2
2007-08-07
Post-etch removal of fluorocarbon-based residues from a hybrid dielectric structure
App 20070059922 - Clevenger; Lawrence A. ;   et al.
2007-03-15
Method for isotropic etching of copper
App 20060183056 - Cooper; Emanuel I. ;   et al.
2006-08-17
Copper recess process with application to selective capping and electroless plating
Grant 7,064,064 - Chen , et al. June 20, 2
2006-06-20
Material and process for etched structure filling and planarizing
App 20060089000 - Della Guardia; Ronald A. ;   et al.
2006-04-27
Maintaining Uniform Cmp Hard Mask Thickness
App 20060043590 - Chen; Steven Shyng-Tsong T. ;   et al.
2006-03-02
Copper recess process with application to selective capping and electroless plating
Grant 6,975,032 - Chen , et al. December 13, 2
2005-12-13
Self-aligned mask to reduce cell layout area
Grant 6,974,770 - Costrini , et al. December 13, 2
2005-12-13
Copper recess process with application to selective capping and electroless plating
App 20050158985 - Chen, Shyng-Tsong ;   et al.
2005-07-21
Stabilization of fluorine-containing dielectric materials in a metal insulator wiring structure
Grant 6,911,378 - Conti , et al. June 28, 2
2005-06-28
Method for isotropic etching of copper
App 20050056616 - Cooper, Emanuel I. ;   et al.
2005-03-17
Stabilization Of Fluorine-containing Dielectric Materials In A Metal Insulator Wiring Structure
App 20040266140 - Conti, Richard A. ;   et al.
2004-12-30
Self-aligned mask to reduce cell layout area
App 20040259358 - Costrini, Gregory ;   et al.
2004-12-23
Copper recess process with application to selective capping and electroless plating
App 20040113279 - Chen, Shyng-Tsong ;   et al.
2004-06-17
Apparatus and method for wet cleaning
Grant 6,584,989 - Taft , et al. July 1, 2
2003-07-01
Apparatus and method for wet cleaning
App 20020148485 - Taft, Charles J. ;   et al.
2002-10-17
Removal of dielectric oxides
Grant 6,200,891 - Jagannathan , et al. March 13, 2
2001-03-13
Removal of silicon oxide
Grant 6,117,796 - Gale , et al. September 12, 2
2000-09-12
Etching of silicon nitride
Grant 6,066,267 - Rath , et al. May 23, 2
2000-05-23
Method for cleaning semiconductor devices
Grant 5,962,384 - Cooper , et al. October 5, 1
1999-10-05
Control of gas content in process liquids for improved megasonic cleaning of semiconductor wafers and microelectronics substrates
Grant 5,800,626 - Cohen , et al. September 1, 1
1998-09-01
Inductive head lamination with layer of magnetic quenching material
Grant 5,576,099 - Canaperi , et al. * November 19, 1
1996-11-19
Composition and coating to prevent current induced electrochemical dendrite formation between conductors on dielectric substrate
Grant 5,074,969 - Brewer , et al. December 24, 1
1991-12-24
Composition and coating to prevent current induced electrochemical dendrite formation between conductors on dielectric substrate
Grant 5,038,195 - Brewer , et al. August 6, 1
1991-08-06

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed