loadpatents
name:-0.016215801239014
name:-0.065179109573364
name:-0.0011780261993408
Phan; Khoi A. Patent Filings

Phan; Khoi A.

Patent Applications and Registrations

Patent applications and USPTO patent grants for Phan; Khoi A..The latest application filed is for "heat regulating device for an integrated circuit".

Company Profile
0.62.11
  • Phan; Khoi A. - San Jose CA
  • Phan; Khoi A - San Jose CA
  • Phan; Khoi A. - Santa Jose CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Mitigating heat in an integrated circuit
Grant 8,028,531 - Phan , et al. October 4, 2
2011-10-04
System and method for imprint lithography to facilitate dual damascene integration in a single imprint act
Grant 7,709,373 - Dakshina-Murthy , et al. May 4, 2
2010-05-04
Heat Regulating Device For An Integrated Circuit
App 20090288425 - Phan; Khoi A. ;   et al.
2009-11-26
Mask having sidewall absorbers to enable the printing of finer features in nanoprint lithography (1XMASK)
Grant 7,604,903 - Singh , et al. October 20, 2
2009-10-20
Recirculation and reuse of dummy dispensed resist
Grant 7,591,902 - Rangarajan , et al. September 22, 2
2009-09-22
Feedback control of imprint mask feature profile using scatterometry and spacer etchback
Grant 7,449,348 - Dakshina-Murthy , et al. November 11, 2
2008-11-11
Using supercritical fluids to clean lenses and monitor defects
Grant 7,381,278 - Subramanian , et al. June 3, 2
2008-06-03
Recirculation And Reuse Of Dummy Dispensed Resist
App 20070261636 - Rangarajan; Bharath ;   et al.
2007-11-15
Systems and methods of imprint lithography with adjustable mask
Grant 7,295,288 - Subramanian , et al. November 13, 2
2007-11-13
Frame structure for turbulence control in immersion lithography
Grant 7,289,193 - Subramanian , et al. October 30, 2
2007-10-30
Use of supercritical fluid to dry wafer and clean lens in immersion lithography
Grant 7,262,422 - Subramanian , et al. August 28, 2
2007-08-28
Composite alignment mark scheme for multi-layers in lithography
Grant 7,221,060 - Singh , et al. May 22, 2
2007-05-22
Systems and methods that employ exposure compensation to provide uniform CD control on reticle during fabrication
Grant 7,187,796 - Phan , et al. March 6, 2
2007-03-06
Use of supercritical fluid to dry wafer and clean lens in immersion lithography
App 20070026345 - Subramanian; Ramkumar ;   et al.
2007-02-01
Use of non-lithographic shrink techniques for fabrication/making of imprints masks
Grant 7,159,205 - Amblard , et al. January 2, 2
2007-01-02
Real time immersion medium control using scatterometry
Grant 7,158,896 - Singh , et al. January 2, 2
2007-01-02
Using supercritical fluids to clean lenses and monitor defects
Grant 7,156,925 - Subramanian , et al. January 2, 2
2007-01-02
Re-circulation and reuse of dummy-dispensed resist
Grant 7,153,364 - Rangarajan , et al. December 26, 2
2006-12-26
System and method for imprint lithography to facilitate dual damascene integration in a single imprint act
Grant 7,148,142 - Dakshina-Murthy , et al. December 12, 2
2006-12-12
Surface oxide tabulation and photo process control and cost savings
Grant 7,109,046 - Subramanian , et al. September 19, 2
2006-09-19
Barcode marking of wafer products for inventory control
Grant 7,100,826 - Phan , et al. September 5, 2
2006-09-05
Scatterometry monitor in cluster process tool environment for advanced process control (APC)
Grant 7,076,320 - Phan , et al. July 11, 2
2006-07-11
Multi-layer overlay measurement and correction technique for IC manufacturing
Grant 7,065,737 - Phan , et al. June 20, 2
2006-06-20
Optical monitoring and control of two layers of liquid immersion media
Grant 7,065,427 - Dakshina-Murthy , et al. June 20, 2
2006-06-20
Non-lithographic shrink techniques for improving line edge roughness and using imperfect (but simpler) BARCs
Grant 7,064,846 - Amblard , et al. June 20, 2
2006-06-20
Use of base developers as immersion lithography fluid
Grant 7,056,646 - Amblard , et al. June 6, 2
2006-06-06
System and method for defect identification and location using an optical indicia device
Grant 7,034,930 - Subramanian , et al. April 25, 2
2006-04-25
System and method of pattern recognition and metrology structure for an X-initiative layout design
Grant 7,001,830 - Phan , et al. February 21, 2
2006-02-21
Refractive index system monitor and control for immersion lithography
Grant 6,999,254 - Phan , et al. February 14, 2
2006-02-14
Electrical critical dimension measurement and defect detection for reticle fabrication
Grant 6,972,576 - Lyons , et al. December 6, 2
2005-12-06
Using scatterometry to detect and control undercut for ARC with developable BARCs
Grant 6,972,201 - Subramanian , et al. December 6, 2
2005-12-06
Artificial intelligence system for track defect problem solving
Grant 6,954,678 - Phan , et al. October 11, 2
2005-10-11
Multi-layer overlay measurement and correction technique for IC manufacturing
App 20050193362 - Phan, Khoi A. ;   et al.
2005-09-01
Real time particle monitor inside of plasma chamber during resist strip processing
Grant 6,924,157 - Phan , et al. August 2, 2
2005-08-02
Comprehensive integrated lithographic process control system based on product design and yield feedback system
Grant 6,915,177 - Phan , et al. July 5, 2
2005-07-05
Pattern recognition and metrology structure for an x-initiative layout design
App 20050048741 - Phan, Khoi A. ;   et al.
2005-03-03
Refractive index system monitor and control for immersion lithography
Grant 6,844,206 - Phan , et al. January 18, 2
2005-01-18
Quartz mask crack monitor system for reticle by acoustic and/or laser scatterometry
Grant 6,818,360 - Phan , et al. November 16, 2
2004-11-16
System and method to monitor reticle heating
Grant 6,809,793 - Phan , et al. October 26, 2
2004-10-26
Model based metal overetch control
Grant 6,808,591 - Phan , et al. October 26, 2
2004-10-26
Reticle defect printability verification by resist latent image comparison
Grant 6,784,446 - Phan , et al. August 31, 2
2004-08-31
Scatterometry of grating structures to monitor wafer stress
Grant 6,771,356 - Lyons , et al. August 3, 2
2004-08-03
System and method for control of hardmask etch to prevent pattern collapse of ultra-thin resists
Grant 6,762,133 - Rangarajan , et al. July 13, 2
2004-07-13
Methods and systems for controlling resist residue defects at gate layer in a semiconductor device manufacturing process
Grant 6,759,179 - Phan , et al. July 6, 2
2004-07-06
In-situ chemical composition monitor on wafer during plasma etching for defect control
Grant 6,753,261 - Phan , et al. June 22, 2
2004-06-22
Method and system to monitor and control electro-static discharge
Grant 6,741,445 - Phan , et al. May 25, 2
2004-05-25
Low defect metrology approach on clean track using integrated metrology
Grant 6,724,476 - Phan , et al. April 20, 2
2004-04-20
Comprehensive integrated lithographic process control system based on product design and yield feedback system
App 20040063009 - Phan, Khoi A. ;   et al.
2004-04-01
Sensor to predict void free films using various grating structures and characterize fill performance
Grant 6,684,172 - Subramanian , et al. January 27, 2
2004-01-27
Vapor drying for cleaning photoresists
Grant 6,663,723 - Templeton , et al. December 16, 2
2003-12-16
Defect detection in pellicized reticles via exposure at short wavelengths
Grant 6,665,065 - Phan , et al. December 16, 2
2003-12-16
Controlling thermal expansion of mask substrates by scatterometry
Grant 6,654,660 - Singh , et al. November 25, 2
2003-11-25
Methods and systems for controlling resist residue defects at gate layer in a semiconductor device manufacturing process
Grant 6,649,525 - Phan , et al. November 18, 2
2003-11-18
Parallel plate development
Grant 6,634,805 - Templeton , et al. October 21, 2
2003-10-21
System and method for illuminating a semiconductor processing system
Grant 6,632,283 - Singh , et al. October 14, 2
2003-10-14
Low defect EBR nozzle
Grant 6,612,319 - Rangarajan , et al. September 2, 2
2003-09-02
Reducing resist residue defects in open area on patterned wafer using trim mask
Grant 6,613,500 - Phan , et al. September 2, 2
2003-09-02
Monitor CMP process using scatterometry
Grant 6,594,024 - Singh , et al. July 15, 2
2003-07-15
System and method for facilitating detection of defects on a wafer
Grant 6,559,457 - Phan , et al. May 6, 2
2003-05-06
Nozzle arm movement for resist development
App 20030068430 - Subramanian, Ramkumar ;   et al.
2003-04-10
In-situ or ex-situ profile monitoring of phase openings on alternating phase shifting masks by scatterometry
App 20030052084 - Tabery, Cyrus E. ;   et al.
2003-03-20
System and method to facilitate removal of defects from a substrate
Grant 6,486,072 - Phan , et al. November 26, 2
2002-11-26
Modification of mask layout data to improve mask fidelity
App 20020160281 - Subramanian, Ramkumar ;   et al.
2002-10-31
In-situ thickness measurement for use in semiconductor processing
App 20020142493 - Halliyal, Arvind ;   et al.
2002-10-03
UV-enhanced silylation process to increase etch resistance of ultra thin resists
Grant 6,451,512 - Rangarajan , et al. September 17, 2
2002-09-17
Modification of mask layout data to improve mask fidelity
Grant 6,444,373 - Subramanian , et al. September 3, 2
2002-09-03
Electron beam flood exposure technique to reduce the carbon contamination
Grant 6,444,381 - Singh , et al. September 3, 2
2002-09-03
Analysis of CD-SEM signal to detect scummed/closed contact holes and lines
Grant 6,373,053 - Choo , et al. April 16, 2
2002-04-16
Parallel inspection of semiconductor wafers by a plurality of different inspection stations to maximize throughput
Grant 6,320,402 - Phan , et al. November 20, 2
2001-11-20
Ozone cleaning of wafers
App 20010010229 - Subramanian, Ramkumar ;   et al.
2001-08-02
Apparatus and method for reducing defects in a semiconductor lithographic process
Grant 6,222,936 - Phan , et al. April 24, 2
2001-04-24
Low cost application of oxide test wafer for defect monitor in photolithography process
Grant 6,171,737 - Phan , et al. January 9, 2
2001-01-09
Method for reducing defects in a semiconductor lithographic process
Grant 5,985,497 - Phan , et al. November 16, 1
1999-11-16

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed