loadpatents
name:-0.11723399162292
name:-0.10734796524048
name:-0.010626077651978
Pfeiffer; Dirk Patent Filings

Pfeiffer; Dirk

Patent Applications and Registrations

Patent applications and USPTO patent grants for Pfeiffer; Dirk.The latest application filed is for "tamper-resistant circuit, back-end of the line memory and physical unclonable function for supply chain protection".

Company Profile
10.116.118
  • Pfeiffer; Dirk - Croton on Hudson NY
  • Pfeiffer; Dirk - Westchester NY
  • Pfeiffer; Dirk - Hudson NY US
  • Pfeiffer; Dirk - Dobbs Ferry NY
  • Pfeiffer; Dirk - Croton On Hudosn NY
  • Pfeiffer; Dirk - Cronton on Hudson NY
  • Pfeiffer; Dirk - Yorktown Heights NY
  • Pfeiffer; Dirk - Corton On Hudson NY
  • Pfeiffer; Dirk - Armonk NY
  • Pfeiffer; Dirk - Dobbs Perry NY
  • Pfeiffer; Dirk - Gottmadingen DE
  • Pfeiffer; Dirk - New York NY
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Trusted field programmable gate array
Grant 11,379,125 - Plouchart , et al. July 5, 2
2022-07-05
Tamper-resistant Circuit, Back-end Of The Line Memory And Physical Unclonable Function For Supply Chain Protection
App 20220020706 - Plouchart; Jean-Olivier ;   et al.
2022-01-20
Tamper Resistant Obfuscation Circuit
App 20220019703 - Plouchart; Jean-Olivier ;   et al.
2022-01-20
Encryption engine with an undetectable/tamper-proof private key in late node CMOS technology
Grant 11,216,595 - Boivie , et al. January 4, 2
2022-01-04
Secure Chip Identification Using Resistive Processing Unit As A Physically Unclonable Function
App 20210342489 - Kumar; Arvind ;   et al.
2021-11-04
Method for product authentication using a microfluidic reader
Grant 11,164,190 - Pfeiffer , et al. November 2, 2
2021-11-02
Zonal nanofluidic anti-tamper device for product authentication
Grant 11,162,950 - Pfeiffer , et al. November 2, 2
2021-11-02
Encryption engine with an undetectable/tamper proof private key in late node CMOS technology
Grant 10,997,321 - Boivie , et al. May 4, 2
2021-05-04
Lithographically defined intrinsic identifier
Grant 10,778,422 - Pfeiffer , et al. Sept
2020-09-15
Screen printed phosphors for intrinsic chip identifiers
Grant 10,721,082 - Pfeiffer , et al.
2020-07-21
Method For Product Authentication Using A Microfluidic Reader
App 20200175524 - Pfeiffer; Dirk ;   et al.
2020-06-04
Use Of Microfluidic Reader Device For Product Authentication
App 20200175235 - Pfeiffer; Dirk ;   et al.
2020-06-04
Zonal Nanofluidic Anti-Tamper Device for Product Authentication
App 20200174010 - Pfeiffer; Dirk ;   et al.
2020-06-04
Encryption Engine With An Undetectable/tamper-proof Private Key In Late Node Cmos Technology
App 20200019731 - Boivie; Richard H. ;   et al.
2020-01-16
Encryption Engine With An Undetectable/tamper-proof Private Key In Late Node Cmos Technology
App 20200019732 - Boivie; Richard H. ;   et al.
2020-01-16
Graphene-based micro-scale identification system
Grant 10,430,700 - Valdes Garcia , et al. O
2019-10-01
Encryption engine with an undetectable/tamper-proof private key in late node CMOS technology
Grant 10,423,805 - Boivie , et al. Sept
2019-09-24
Graphene-based micro-scale identification system
Grant 10,157,338 - Valdes Garcia , et al. Dec
2018-12-18
Encryption Engine With An Undetectable/tamper-proof Private Key In Late Node Cmos Technology
App 20180181774 - Boivie; Richard H. ;   et al.
2018-06-28
Integrated time dependent dielectric breakdown reliability testing
Grant 9,939,486 - Chen , et al. April 10, 2
2018-04-10
Integrated time dependent dielectric breakdown reliability testing
Grant 9,874,601 - Chen , et al. January 23, 2
2018-01-23
Screen Printed Phosphors For Intrinsic Chip Identifiers
App 20180017509 - Pfeiffer; Dirk ;   et al.
2018-01-18
Lithographically Defined Intrinsic Identifier
App 20180019881 - Pfeiffer; Dirk ;   et al.
2018-01-18
Graphene-based Micro-scale Identification System
App 20170323186 - VALDES GARCIA; Alberto ;   et al.
2017-11-09
Carbon nanotube array for cryptographic key generation and protection
Grant 9,787,473 - Haensch , et al. October 10, 2
2017-10-10
Carbon nanostructure device fabrication utilizing protect layers
Grant 9,768,288 - Chu , et al. September 19, 2
2017-09-19
Carbon Nanostructure Device Fabrication Utilizing Protect Layers
App 20170186881 - CHU; JACK O. ;   et al.
2017-06-29
Security model for a memory of a network information system
Grant 9,692,858 - Jain , et al. June 27, 2
2017-06-27
Carbon nanotube array for cryptographic key generation and protection
Grant 9,660,806 - Haensch , et al. May 23, 2
2017-05-23
Integrated Time Dependent Dielectric Breakdown Reliability Testing
App 20170122999 - Chen; Jifeng ;   et al.
2017-05-04
Carbon Nanotube Array for Cryptographic Key Generation and Protection
App 20170063543 - Haensch; Wilfried ;   et al.
2017-03-02
Graphene layers for identification of products
Grant 9,568,421 - Farmer , et al. February 14, 2
2017-02-14
Integrated time dependent dielectric breakdown reliability testing
Grant 9,557,369 - Chen , et al. January 31, 2
2017-01-31
Integrated Time Dependent Dielectric Breakdown Reliability Testing
App 20170010322 - Chen; Jifeng ;   et al.
2017-01-12
Chip authentication using scan chains
Grant 9,506,983 - Motika , et al. November 29, 2
2016-11-29
Graphene layers for identification of products
Grant 9,493,025 - Farmer , et al. November 15, 2
2016-11-15
Graphene Layers For Identification Of Products
App 20160325580 - Farmer; Damon B. ;   et al.
2016-11-10
Graphene cap for copper interconnect structures
Grant 9,472,450 - Bonilla , et al. October 18, 2
2016-10-18
Methods and structures for protecting one area while processing another area on a chip
Grant 9,472,402 - Kim , et al. October 18, 2
2016-10-18
Integrated time dependent dielectric breakdown reliability testing
Grant 9,448,277 - Chen , et al. September 20, 2
2016-09-20
Graphene Layers For Identification Of Products
App 20160207345 - Farmer; Damon B. ;   et al.
2016-07-21
Wafer scale epitaxial graphene transfer
Grant 9,394,178 - Bedell , et al. July 19, 2
2016-07-19
Carbon Nanotube Array for Cryptographic Key Generation and Protection
App 20160191255 - Haensch; Wilfried ;   et al.
2016-06-30
Physical unclonable function generation and management
Grant 9,337,837 - Pfeiffer , et al. May 10, 2
2016-05-10
Methods for fabrication interconnect structures with functional components and electrical conductive contact structures on a same level
Grant 9,236,298 - Lin , et al. January 12, 2
2016-01-12
Wafer Scale Epitaxial Graphene Transfer
App 20150336800 - BEDELL; STEPHEN W. ;   et al.
2015-11-26
Physical unclonable function generation and management
Grant 9,184,751 - Pfeiffer , et al. November 10, 2
2015-11-10
Interconnect Structure And Method For Fabricating On-chip Interconnect Structures By Image Reversal
App 20150270219 - BRUCE; ROBERT L. ;   et al.
2015-09-24
Interconnect Structures With Functional Components And Methods For Fabrication
App 20150255337 - LIN; QINGHUANG ;   et al.
2015-09-10
Physical Unclonable Function Generation And Management
App 20150236693 - PFEIFFER; DIRK ;   et al.
2015-08-20
Chip Authentication Using Scan Chains
App 20150219718 - MOTIKA; FRANCO ;   et al.
2015-08-06
Wafer scale epitaxial graphene transfer
Grant 9,096,050 - Bedell , et al. August 4, 2
2015-08-04
Physical unclonable function generation and management
Grant 9,088,278 - Pfeiffer , et al. July 21, 2
2015-07-21
Detecting chip alterations with light emission
Grant 9,075,106 - Bernstein , et al. July 7, 2
2015-07-07
Chip authentication using scan chains
Grant 9,069,989 - Motika , et al. June 30, 2
2015-06-30
Graphene resistor based tamper resistant identifier with contactless reading
Grant 9,059,188 - Dimitrakopoulos , et al. June 16, 2
2015-06-16
Methods and structures for protecting one area while processing another area on a chip
Grant 9,059,000 - Kim , et al. June 16, 2
2015-06-16
Interconnect structure and method for fabricating on-chip interconnect structures by image reversal
Grant 9,054,160 - Bruce , et al. June 9, 2
2015-06-09
Reliable physical unclonable function for device authentication
Grant 8,971,527 - BrightSky , et al. March 3, 2
2015-03-03
Methods and Structures for Protecting One Area While Processing Another Area on a Chip
App 20150004802 - Kim; Deok-kee ;   et al.
2015-01-01
Carbon Nanostructure Device Fabrication Utilizing Protect Layers
App 20140374702 - CHU; JACK O. ;   et al.
2014-12-25
Interconnect structure and method of fabricating
Grant 8,916,978 - Lin , et al. December 23, 2
2014-12-23
Method of forming a graphene cap for copper interconnect structures
Grant 8,895,433 - Bonilla , et al. November 25, 2
2014-11-25
Physical Unclonable Function Generation And Management
App 20140327469 - PFEIFFER; Dirk ;   et al.
2014-11-06
Physical Unclonable Function Generation And Management
App 20140327468 - Pfeiffer; Dirk ;   et al.
2014-11-06
Low cost secure chip identification
Grant 8,877,525 - Leobandung , et al. November 4, 2
2014-11-04
Reflective film interface to restore transverse magnetic wave contrast in lithographic processing
Grant 8,867,024 - Lai , et al. October 21, 2
2014-10-21
Integrated circuit tamper detection and response
Grant 8,861,728 - Chu , et al. October 14, 2
2014-10-14
Multi-doped silicon antifuse device for integrated circuit
Grant 8,860,176 - Fritz , et al. October 14, 2
2014-10-14
Reliable physical unclonable function for device authentication
Grant 8,861,736 - BrightSky , et al. October 14, 2
2014-10-14
Wafer Scale Epitaxial Graphene Transfer
App 20140291282 - Bedell; Stephen W. ;   et al.
2014-10-02
Carbon nanostructure device fabrication utilizing protect layers
Grant 8,828,762 - Chu , et al. September 9, 2
2014-09-09
Reactive material for integrated circuit tamper detection and response
Grant 8,816,717 - Fritz , et al. August 26, 2
2014-08-26
Carbon Nanostructure Device Fabrication Utilizing Protect Layers
App 20140225193 - CHU; JACK O. ;   et al.
2014-08-14
Integrated Time Dependent Dielectric Breakdown Reliability Testing
App 20140207396 - Chen; Jifeng ;   et al.
2014-07-24
Authentication using graphene based devices as physical unclonable functions
Grant 8,766,258 - Dimitrakopoulos , et al. July 1, 2
2014-07-01
Structure with sub-lithographic random conductors as a physical unclonable function
Grant 8,759,976 - Edelstein , et al. June 24, 2
2014-06-24
Authentication Using Graphene Based Devices As Physical Unclonable Functions
App 20140162464 - Dimitrakopoulos; Christos D. ;   et al.
2014-06-12
Authentication Using Graphene Based Devices As Physical Unclonable Functions
App 20140159040 - DIMITRAKOPOULOS; CHRISTOS D. ;   et al.
2014-06-12
Reliable physical unclonable function for device authentication
Grant 8,741,713 - Bruley , et al. June 3, 2
2014-06-03
Reliable Physical Unclonable Function For Device Authentication
App 20140140502 - BRIGHTSKY; MATTHEW J. ;   et al.
2014-05-22
Reliable Physical Unclonable Function For Device Authentication
App 20140140513 - BrightSky; Matthew J. ;   et al.
2014-05-22
Method Of Forming A Graphene Cap For Copper Interconnect Structures
App 20140127896 - Bonilla; Griselda ;   et al.
2014-05-08
Integrated Circuit Tamper Detection And Response
App 20140103286 - Chu; Jack O. ;   et al.
2014-04-17
Antifuse Device For Integrated Circuit
App 20140103485 - FRITZ; GREGORY M. ;   et al.
2014-04-17
Reactive Material For Integrated Circuit Tamper Detection And Response
App 20140103957 - Fritz; Gregory M. ;   et al.
2014-04-17
Bilayer gate dielectric with low equivalent oxide thickness for graphene devices
Grant 8,680,511 - Dimitrakopoulos , et al. March 25, 2
2014-03-25
Reliable Physical Unclonable Function For Device Authentication
App 20140042442 - BRULEY; JOHN ;   et al.
2014-02-13
Structure With Sub-lithographic Random Conductors As A Physical Unclonable Function
App 20140042628 - Edelstein; Daniel C. ;   et al.
2014-02-13
Electronic Structure Containing A Via Array As A Physical Unclonable Function
App 20140042627 - Edelstein; Daniel C. ;   et al.
2014-02-13
Security Model For A Memory Of A Network Information System
App 20140026191 - JAIN; Bhushan P. ;   et al.
2014-01-23
Method of forming a graphene cap for copper interconnect structures
Grant 8,623,761 - Bonilla , et al. January 7, 2
2014-01-07
Integrated Time Dependent Dielectric Breakdown Reliability Testing
App 20130345997 - CHEN; JIFENG ;   et al.
2013-12-26
Process of making a lithographic structure using antireflective materials
Grant 8,609,322 - Angelopoulos , et al. December 17, 2
2013-12-17
Graphene Cap For Copper Interconnect Structures
App 20130299988 - Bonilla; Griselda ;   et al.
2013-11-14
Method Of Forming A Graphene Cap For Copper Interconnect Structures
App 20130302978 - Bonilla; Griselda ;   et al.
2013-11-14
Reliable physical unclonable function for device authentication
Grant 8,525,169 - Edelstein , et al. September 3, 2
2013-09-03
Bilayer Gate Dielectric With Low Equivalent Oxide Thickness For Graphene Devices
App 20130207080 - Dimitrakopoulos; Christos D. ;   et al.
2013-08-15
Chip Authentication Using Scan Chains
App 20130198873 - MOTIKA; FRANCO ;   et al.
2013-08-01
Interconnect Structures With Functional Components And Methods For Fabrication
App 20130062732 - LIN; QINGHUANG ;   et al.
2013-03-14
Process Of Making A Lithographic Structure Using Antireflective Materials
App 20130017486 - Angelopoulos; Marie ;   et al.
2013-01-17
Interconnect Structure And Method Of Fabricating
App 20130009323 - Lin; Qinghuang ;   et al.
2013-01-10
Interconnect structure and method of fabricating
Grant 8,334,203 - Lin , et al. December 18, 2
2012-12-18
Antireflective hardmask composition and a method of preparing a patterned material using same
Grant 8,323,871 - Burns , et al. December 4, 2
2012-12-04
Process of making a lithographic structure using antireflective materials
Grant 8,293,454 - Angelopoulos , et al. October 23, 2
2012-10-23
Interconnect Structure And Method For Fabricating On-chip Interconnect Structures By Image Reversal
App 20120261828 - BRUCE; ROBERT L. ;   et al.
2012-10-18
Reflective Film Interface To Restore Transverse Magnetic Wave Contrast In Lithographic Processing
App 20120092633 - Lai; Kafai ;   et al.
2012-04-19
Method and system for tone inverting of residual layer tolerant imprint lithography
Grant 8,137,997 - Colburn , et al. March 20, 2
2012-03-20
Method and system for tone inverting of residual layer tolerant imprint lithography
Grant 8,137,996 - Colburn , et al. March 20, 2
2012-03-20
Reflective film interface to restore transverse magnetic wave contrast in lithographic processing
Grant 8,125,618 - Lai , et al. February 28, 2
2012-02-28
Molecular spacer layer for semiconductor oxide surface and high-K dielectric stack
Grant 8,124,485 - Goldfarb , et al. February 28, 2
2012-02-28
Interconnect Structure And Method Of Fabricating
App 20110304053 - Lin; Qinghuang ;   et al.
2011-12-15
Self-Segregating Multilayer Imaging Stack With Built-In Antireflective Properties
App 20110300483 - Cheng; Joy ;   et al.
2011-12-08
Self-Segregating Multilayer Imaging Stack With Built-In Antireflective Properties
App 20110294068 - Cheng; Joy ;   et al.
2011-12-01
Antireflective Hardmask Composition and a Method of Preparing a Patterned Material Using Same
App 20110207047 - Burns; Sean D. ;   et al.
2011-08-25
Method for fabricating dual damascene structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascene patterning
Grant 7,982,312 - Colburn , et al. July 19, 2
2011-07-19
Process of making a semiconductor device using multiple antireflective materials
Grant 7,968,270 - Angelopoulos , et al. June 28, 2
2011-06-28
Multiple exposure lithography method incorporating intermediate layer patterning
Grant 7,914,975 - Burns , et al. March 29, 2
2011-03-29
Radiation-sensitive composition and method of fabricating a device using the radiation-sensitive composition
Grant 7,901,864 - Huang , et al. March 8, 2
2011-03-08
Detecting Chip Alterations with Light Emission
App 20110026806 - Bernstein; Kerry ;   et al.
2011-02-03
Polycarbosilane buried etch stops in interconnect structures
Grant 7,879,717 - Huang , et al. February 1, 2
2011-02-01
Method for fabricating dual damascene structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascene patterning
Grant 7,862,989 - Colburn , et al. January 4, 2
2011-01-04
Method for fabricating dual damascene structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascene patterning
Grant 7,837,459 - Colburn , et al. November 23, 2
2010-11-23
Graded spin-on organic antireflective coating for photolithography
Grant 7,816,069 - Brodsky , et al. October 19, 2
2010-10-19
Method And System For Tone Inverting Of Residual Layer Tolerant Imprint Lithography
App 20100230385 - Colburn; Matthew E. ;   et al.
2010-09-16
Method And System For Tone Inverting Of Residual Layer Tolerant Imprint Lithography
App 20100230048 - Colburn; Matthew E. ;   et al.
2010-09-16
Method and system for tone inverting of residual layer tolerant imprint lithography
Grant 7,776,628 - Colburn , et al. August 17, 2
2010-08-17
Control device, in particular in the form of an electric switch for electric handtools
Grant 7,764,502 - Erb , et al. July 27, 2
2010-07-27
Reflective film interface to restore transverse magnetic wave contrast in lithographic processing
Grant 7,736,841 - Lai , et al. June 15, 2
2010-06-15
Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
Grant 7,687,913 - Chakrapani , et al. March 30, 2
2010-03-30
Antireflective hardmask and uses thereof
Grant 7,648,820 - Babich , et al. January 19, 2
2010-01-19
Graded spin-on organic antireflective coating for photolithography
Grant 7,588,879 - Brodsky , et al. September 15, 2
2009-09-15
Control Device, In Particular In The Form Of An Electric Switch For Electric Handtools
App 20090213545 - Erb; Tino ;   et al.
2009-08-27
Techniques for patterning features in semiconductor devices
Grant 7,545,041 - Allen , et al. June 9, 2
2009-06-09
Antireflective film-forming composition, method for manufacturing the same, and antireflective film and pattern formation method using the same
Grant 7,541,134 - Iwabuchi , et al. June 2, 2
2009-06-02
Topcoat material and use thereof in immersion lithography processes
Grant 7,521,172 - David , et al. April 21, 2
2009-04-21
Process Of Making A Lithographic Structure Using Antireflective Materials
App 20090061355 - Angelopoulos; Marie ;   et al.
2009-03-05
Methods and structures for protecting one area while processing another area on a chip
Grant 7,497,959 - Kim , et al. March 3, 2
2009-03-03
Reflective Film Interface To Restore Transverse Magnetic Wave Contrast In Lithographic Processing
App 20090040486 - Lai; Kafai ;   et al.
2009-02-12
Sacrificial film-forming composition, patterning process, sacrificial film and removal method
Grant 7,485,690 - Hamada , et al. February 3, 2
2009-02-03
Process of making a semiconductor device using multiple antireflective materials
Grant 7,485,573 - Angelopoulos , et al. February 3, 2
2009-02-03
Method for fabricating dual damascene structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, Materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascene patterning
App 20090023083 - Colburn; Matthew E. ;   et al.
2009-01-22
Reflective Film Interface To Restore Transverse Magnetic Wave Contrast In Lithographic Processing
App 20090011371 - Lai; Kafai ;   et al.
2009-01-08
Reflective film interface to restore transverse magnetic wave contrast in lithographic processing
Grant 7,470,504 - Lai , et al. December 30, 2
2008-12-30
Process Of Making A Semiconductor Device Using Multiple Antireflective Materials
App 20080311508 - Angelopoulos; Marie ;   et al.
2008-12-18
Method for fabricating dual damascene structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascene patterning
App 20080303160 - Colburn; Matthew E. ;   et al.
2008-12-11
Method for fabricating dual damascene structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascene patterning
App 20080305197 - Colburn; Matthew E. ;   et al.
2008-12-11
Methods and structures for protecting one area while processing another area on a chip
App 20080261128 - Kim; Deok-kee ;   et al.
2008-10-23
Multiple Exposure Lithography Method Incorporating Intermediate Layer Patterning
App 20080254633 - Burns; Sean D. ;   et al.
2008-10-16
Polycarbosilane Buried Etch Stops In Interconnect Structures
App 20080254612 - Huang; Elbert E. ;   et al.
2008-10-16
Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
Grant 7,435,074 - Colburn , et al. October 14, 2
2008-10-14
Graded Spin-on Organic Antireflective Coating for Photolithography
App 20080213707 - Brodsky; Colin J. ;   et al.
2008-09-04
Techniques for Patterning Features in Semiconductor Devices
App 20080187731 - Allen; Scott D. ;   et al.
2008-08-07
Polycarbosilane buried etch stops in interconnect structures
Grant 7,396,758 - Huang , et al. July 8, 2
2008-07-08
Method and system for tone inverting of residual layer tolerant imprint lithography
App 20080118645 - Colburn; Matthew E. ;   et al.
2008-05-22
Antireflective composition and process of making a lithographic structure
Grant 7,326,442 - Babich , et al. February 5, 2
2008-02-05
Graded spin-on organic antireflective coating for photolithography
App 20080008955 - Brodsky; Colin J. ;   et al.
2008-01-10
Bottom Anti-reflective Coating
App 20070275330 - Bailey; Todd C. ;   et al.
2007-11-29
Topcoat Material And Use Thereof In Immersion Lithography Processes
App 20070254237 - ALLEN; ROBERT DAVID ;   et al.
2007-11-01
Silicon-containing compositions for spin-on arc/hardmask materials
Grant 7,276,327 - Angelopoulos , et al. October 2, 2
2007-10-02
Silicon-containing compositions for spin-on ARC/hardmask materials
Grant 7,270,931 - Angelopoulos , et al. September 18, 2
2007-09-18
Process of making a semiconductor device using multiple antireflective materials
App 20070196748 - Angelopoulos; Marie ;   et al.
2007-08-23
Method of forming a ceramic diffusion barrier layer
Grant 7,256,146 - Cohen , et al. August 14, 2
2007-08-14
Recovery Of Hydrophobicity Of Low-k And Ultra Low-k Organosilicate Films Used As Inter Metal Dielectrics
App 20070138640 - Chakrapani; Nirupama ;   et al.
2007-06-21
Lithographic antireflective hardmask compositions and uses thereof
Grant 7,223,517 - Babich , et al. May 29, 2
2007-05-29
Polycarbosilane Buried Etch Stops In Interconnect Structures
App 20070111509 - Huang; Elbert E. ;   et al.
2007-05-17
Antireflective Hardmask and Uses Thereof
App 20070105363 - Babich; Katherina E. ;   et al.
2007-05-10
Reflective film interface to restore transverse magnetic wave contrast in lithographic processing
App 20070099122 - Lai; Kafai ;   et al.
2007-05-03
Polycarbosilane buried etch stops in interconnect structures
Grant 7,187,081 - Huang , et al. March 6, 2
2007-03-06
Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
Grant 7,179,758 - Chakrapani , et al. February 20, 2
2007-02-20
Antireflective hardmask and uses thereof
Grant 7,172,849 - Babich , et al. February 6, 2
2007-02-06
Antireflective composition and process of making a lithographic structure
App 20070015083 - Babich; Katherina E. ;   et al.
2007-01-18
Process of making a lithographic structure using antireflective materials
App 20070015082 - Angelopoulos; Marie ;   et al.
2007-01-18
Molecular photoresists containing nonpolymeric silsesquioxanes
Grant 7,141,692 - Allen , et al. November 28, 2
2006-11-28
Photoresist composition
Grant 7,135,595 - Allen , et al. November 14, 2
2006-11-14
Etch selectivity enhancement for tunable etch resistant anti-reflective layer
Grant 7,077,903 - Babich , et al. July 18, 2
2006-07-18
photoresist composition
App 20060128914 - Allen; Robert David ;   et al.
2006-06-15
Techniques for patterning features in semiconductor devices
App 20060118785 - Allen; Scott D. ;   et al.
2006-06-08
Material and process for etched structure filling and planarizing
App 20060089000 - Della Guardia; Ronald A. ;   et al.
2006-04-27
Techniques for patterning features in semiconductor devices
Grant 7,030,008 - Allen , et al. April 18, 2
2006-04-18
Radiation-sensitive composition and method of fabricating a device using the radiation-sensitive composition
App 20060063103 - Huang; Wu-Song ;   et al.
2006-03-23
Photoresist composition
Grant 7,014,980 - Allen , et al. March 21, 2
2006-03-21
Silicon-containing compositions for spin-on arc/hardmask materials
App 20060058489 - Angelopoulos; Marie ;   et al.
2006-03-16
Antireflective film-forming composition, method for manufacturing the same, and antireflective film and pattern formation method using the same
App 20050277058 - Iwabuchi, Motoaki ;   et al.
2005-12-15
Methods And Structures For Protecting One Area While Processing Another Area On A Chip
App 20050255386 - Kim, Deok-kee ;   et al.
2005-11-17
Interconnect structures incorporating low-k dielectric barrier films
App 20050206004 - Cohen, Stephan A. ;   et al.
2005-09-22
Method for fabricating dual damascene structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascene patterning
App 20050202350 - Colburn, Matthew E. ;   et al.
2005-09-15
Interconnect structures incorporating low-k dielectric barrier films
Grant 6,940,173 - Cohen , et al. September 6, 2
2005-09-06
Patterning layers comprised of spin-on ceramic films
Grant 6,929,982 - Gates , et al. August 16, 2
2005-08-16
Molecular photoresists containing nonpolymeric silsesquioxanes
App 20050112382 - Allen, Robert David ;   et al.
2005-05-26
Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
App 20050106762 - Chakrapani, Nirupama ;   et al.
2005-05-19
Etch selectivity enhancement for tunable etch resistant anti-reflective layer
App 20050098091 - Babich, Katherina E. ;   et al.
2005-05-12
Interconnect structures incorporating low-k dielectric barrier films
App 20050087876 - Cohen, Stephan A. ;   et al.
2005-04-28
Silicon-containing compositions for spin-on arc/hardmask materials
App 20050074689 - Angelopoulos, Marie ;   et al.
2005-04-07
Techniques for patterning features in semiconductor devices
App 20050056823 - Allen, Scott D. ;   et al.
2005-03-17
Antireflective hardmask and uses thereof
App 20050042538 - Babich, Katherina ;   et al.
2005-02-24
Lithographic antireflective hardmask compositions and uses thereof
App 20050031964 - Babich, Katherina ;   et al.
2005-02-10
Photoresist composition
App 20050019696 - Allen, Robert David ;   et al.
2005-01-27
Method For Image Reversal Of Implant Resist Using A Single Photolithography Exposure And Structures Formed Thereby
App 20040256698 - Holmes, Steven J. ;   et al.
2004-12-23
Photoresist composition
Grant 6,806,026 - Allen , et al. October 19, 2
2004-10-19
Patterning layers comprised of spin-on ceramic films
Grant 6,803,660 - Gates , et al. October 12, 2
2004-10-12
Method for image reversal of implant resist using a single photolithography exposure and structures formed thereby
Grant 6,780,736 - Holmes , et al. August 24, 2
2004-08-24
Polycarbosilane buried etch stops in interconnect structures
App 20040147111 - Huang, Elbert E. ;   et al.
2004-07-29
Antireflective SiO-containing compositions for hardmask layer
Grant 6,730,454 - Pfeiffer , et al. May 4, 2
2004-05-04
Photoresist composition
App 20030224283 - Allen, Robert David ;   et al.
2003-12-04
Antireflective SiO-containing compositions for hardmask layer
App 20030198877 - Pfeiffer, Dirk ;   et al.
2003-10-23

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed