loadpatents
name:-0.30412292480469
name:-0.14972019195557
name:-0.096609115600586
Penny; Christopher J. Patent Filings

Penny; Christopher J.

Patent Applications and Registrations

Patent applications and USPTO patent grants for Penny; Christopher J..The latest application filed is for "top via on subtractively etched conductive line".

Company Profile
98.142.145
  • Penny; Christopher J. - Saratoga Springs NY
  • Penny; Christopher J - Saratoga Springs NY
  • Penny; Christopher J. - Albany NY
  • Penny; Christopher J. - Saratoga NY
  • Penny; Christopher J. - Poughkeepsie NY
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Single-mask alternating line deposition
Grant 11,437,317 - Anderson , et al. September 6, 2
2022-09-06
Barrier removal for conductor in top via integration scheme
Grant 11,430,735 - Anderson , et al. August 30, 2
2022-08-30
Top Via On Subtractively Etched Conductive Line
App 20220223473 - Anderson; Brent ;   et al.
2022-07-14
Creating different width lines and spaces in a metal layer
Grant 11,373,880 - Penny , et al. June 28, 2
2022-06-28
Conductive Lines With Subtractive Cuts
App 20220181255 - Anderson; Brent ;   et al.
2022-06-09
Optically-passive magnetic signature and identification feature with electromagnetic tamper detection
Grant 11,351,811 - Rizzolo , et al. June 7, 2
2022-06-07
Horizontal Rram Device And Architecture Fore Variability Reduction
App 20220173313 - Philip; Timothy Mathew ;   et al.
2022-06-02
Increasing cost benefit and energy efficiency with modular delivery drones in inclement weather
Grant 11,348,060 - Briggs , et al. May 31, 2
2022-05-31
Hybrid dielectric scheme for varying liner thickness and manganese concentration
Grant 11,348,872 - Briggs , et al. May 31, 2
2022-05-31
Interconnects Having Spacers For Improved Top Via Critical Dimension And Overlay Tolerance
App 20220157652 - Anderson; Brent A. ;   et al.
2022-05-19
Stepped Top Via For Via Resistance Reduction
App 20220130718 - Anderson; Brent Alan ;   et al.
2022-04-28
Skip via connection between metallization levels
Grant 11,315,827 - Huang , et al. April 26, 2
2022-04-26
Subtractive line with damascene second line type
Grant 11,302,575 - Anderson , et al. April 12, 2
2022-04-12
Language learning and speech enhancement through natural language processing
Grant 11,302,205 - Amin , et al. April 12, 2
2022-04-12
Fully Aligned Top Vias
App 20220108922 - Lanzillo; Nicholas Anthony ;   et al.
2022-04-07
Interconnects having spacers for improved top via critical dimension and overlay tolerance
Grant 11,295,978 - Anderson , et al. April 5, 2
2022-04-05
Top vias with selectively retained etch stops
Grant 11,289,371 - Anderson , et al. March 29, 2
2022-03-29
Creating Different Width Lines And Spaces In A Metal Layer
App 20220093414 - Penny; Christopher J ;   et al.
2022-03-24
Conductive lines with subtractive cuts
Grant 11,276,639 - Anderson , et al. March 15, 2
2022-03-15
Proximity correction in three-dimensional manufacturing
Grant 11,263,068 - Briggs , et al. March 1, 2
2022-03-01
Load leveler
Grant 11,263,059 - Fry , et al. March 1, 2
2022-03-01
Interconnects having a via-to-line spacer for preventing short circuit events between a conductive via and an adjacent line
Grant 11,244,859 - Motoyama , et al. February 8, 2
2022-02-08
Subtractive Line with Damascene Second Line Type
App 20220037205 - Anderson; Brent ;   et al.
2022-02-03
Top Via Stack
App 20220028783 - Anderson; Brent Alan ;   et al.
2022-01-27
Top Via Interconnect Having A Line With A Reduced Bottom Dimension
App 20220028785 - Anderson; Brent ;   et al.
2022-01-27
Stepped top via for via resistance reduction
Grant 11,232,977 - Anderson , et al. January 25, 2
2022-01-25
Self-aligned pattern formation for a semiconductor device
Grant 11,227,793 - Burns , et al. January 18, 2
2022-01-18
Top Via With Damascene Line And Via
App 20220005732 - Clevenger; Lawrence A. ;   et al.
2022-01-06
Top Via With Next Level Line Selective Growth
App 20220005761 - Anderson; Brent ;   et al.
2022-01-06
Etch Stop Layer Removal For Capacitance Reduction In Damascene Top Via Integration
App 20220005731 - Penny; Christopher J. ;   et al.
2022-01-06
Fully aligned top vias
Grant 11,217,481 - Lanzillo , et al. January 4, 2
2022-01-04
Behavior-based interactive educational sessions
Grant 11,210,968 - Clevenger , et al. December 28, 2
2021-12-28
Well-controlled Edge-to-edge Spacing Between Adjacent Interconnects
App 20210384123 - Anderson; Brent ;   et al.
2021-12-09
Top via stack
Grant 11,195,792 - Anderson , et al. December 7, 2
2021-12-07
Well-controlled edge-to-edge spacing between adjacent interconnects
Grant 11,195,795 - Anderson , et al. December 7, 2
2021-12-07
Optically-passive Magnetic Signature And Identification Feature With Electromagnetic Tamper Detection
App 20210370705 - Rizzolo; Michael ;   et al.
2021-12-02
Top via interconnect having a line with a reduced bottom dimension
Grant 11,189,568 - Anderson , et al. November 30, 2
2021-11-30
Trapezoidal interconnect at tight BEOL pitch
Grant 11,177,162 - Lanzillo , et al. November 16, 2
2021-11-16
Etch stop layer removal for capacitance reduction in damascene top via integration
Grant 11,177,166 - Penny , et al. November 16, 2
2021-11-16
Top via with next level line selective growth
Grant 11,171,084 - Anderson , et al. November 9, 2
2021-11-09
Barrier-less Prefilled Via Formation
App 20210343589 - Lanzillo; Nicholas Anthony ;   et al.
2021-11-04
Top Via Interconnect Having A Line With A Reduced Bottom Dimension
App 20210343643 - Anderson; Brent ;   et al.
2021-11-04
Interconnects Having Spacers For Improved Top Via Critical Dimension And Overlay Tolerance
App 20210343585 - Anderson; Brent ;   et al.
2021-11-04
Top via with damascene line and via
Grant 11,164,777 - Clevenger , et al. November 2, 2
2021-11-02
Motion-controlled portals in virtual reality
Grant 11,164,377 - Sipolins , et al. November 2, 2
2021-11-02
Semiconductor Device Including A Porous Dielectric Layer, And Method Of Forming The Semiconductor Device
App 20210335706 - Briggs; Benjamin D. ;   et al.
2021-10-28
Top vias with subtractive line formation
Grant 11,158,537 - Anderson , et al. October 26, 2
2021-10-26
Etch Stop Layer Removal For Capacitance Reduction In Damascene Top Via Integration
App 20210327751 - Penny; Christopher J. ;   et al.
2021-10-21
Hybrid selective dielectric deposition for aligned via integration
Grant 11,152,299 - Lanzillo , et al. October 19, 2
2021-10-19
Barrier-less prefilled via formation
Grant 11,152,257 - Lanzillo , et al. October 19, 2
2021-10-19
Top Via With Next Level Line Selective Growth
App 20210313265 - Anderson; Brent ;   et al.
2021-10-07
Secure access for drone package delivery
Grant 11,138,890 - Briggs , et al. October 5, 2
2021-10-05
Top via with hybrid metallization
Grant 11,139,201 - Motoyama , et al. October 5, 2
2021-10-05
Top Via On Subtractively Etched Conductive Line
App 20210296171 - Anderson; Brent ;   et al.
2021-09-23
Hybrid Selective Dielectric Deposition For Aligned Via Integration
App 20210280510 - Lanzillo; Nicholas Anthony ;   et al.
2021-09-09
Skip Via Connection Between Metallization Levels
App 20210280456 - Huang; Huai ;   et al.
2021-09-09
Self Aligned Pattern Formation Post Spacer Etchback In Tight Pitch Configurations
App 20210280422 - Burns; Sean D. ;   et al.
2021-09-09
Smart display apparatus and control system
Grant 11,113,533 - Bergendahl , et al. September 7, 2
2021-09-07
Barrier Removal For Conductor In Top Via Integration Scheme
App 20210257308 - Anderson; Brent Alan ;   et al.
2021-08-19
Single-mask Alternating Line Deposition
App 20210249351 - Anderson; Brent Alan ;   et al.
2021-08-12
Stepped Top Via For Via Resistance Reduction
App 20210249302 - Anderson; Brent Alan ;   et al.
2021-08-12
Top Vias With Selectively Retained Etch Stops
App 20210233807 - Anderson; Brent ;   et al.
2021-07-29
Top Vias With Subtractive Line Formation
App 20210233808 - Anderson; Brent ;   et al.
2021-07-29
Barrier-less Prefilled Via Formation
App 20210225700 - Lanzillo; Nicholas Anthony ;   et al.
2021-07-22
Conductive Lines With Subtractive Cuts
App 20210225761 - Anderson; Brent ;   et al.
2021-07-22
Top Via Stack
App 20210217696 - Anderson; Brent Alan ;   et al.
2021-07-15
Top Via With Damascene Line And Via
App 20210217661 - Clevenger; Lawrence A. ;   et al.
2021-07-15
Selective Ild Deposition For Fully Aligned Via With Airgap
App 20210217653 - Penny; Christopher J. ;   et al.
2021-07-15
Top via interconnects with wrap around liner
Grant 11,062,943 - Motoyama , et al. July 13, 2
2021-07-13
Semiconductor device including a porous dielectric layer, and method of forming the semiconductor device
Grant 11,056,429 - Briggs , et al. July 6, 2
2021-07-06
Self aligned pattern formation post spacer etchback in tight pitch configurations
Grant 11,018,007 - Burns , et al. May 25, 2
2021-05-25
Fully Aligned Top Vias
App 20210143062 - Lanzillo; Nicholas Anthony ;   et al.
2021-05-13
Blockchain stochastic timer transaction synchronization
Grant 11,005,646 - Fry , et al. May 11, 2
2021-05-11
Method of manufacturing an interconnect without dielectric exclusion zones by thermal decomposition of a sacrificial filler material
Grant 11,004,790 - Briggs , et al. May 11, 2
2021-05-11
Top Via With Hybrid Metallization
App 20210134664 - Motoyama; Koichi ;   et al.
2021-05-06
Interconnects Having A Via-to-line Spacer For Preventing Short Circuit Events Between A Conductive Via And An Adjacent Line
App 20210111069 - Motoyama; Koichi ;   et al.
2021-04-15
Language Learning And Speech Enhancement Through Natural Language Processing
App 20210110727 - Amin; Mahmoud ;   et al.
2021-04-15
Interconnect structure having fully aligned vias
Grant 10,978,343 - Park , et al. April 13, 2
2021-04-13
Hybrid dielectric scheme for varying liner thickness and manganese concentration
Grant 10,978,393 - Briggs , et al. April 13, 2
2021-04-13
Remote physical training
Grant 10,971,030 - Briggs , et al. April 6, 2
2021-04-06
Selective ILD deposition for fully aligned via with airgap
Grant 10,964,588 - Penny , et al. March 30, 2
2021-03-30
Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs
Grant 10,957,583 - Burns , et al. March 23, 2
2021-03-23
Trapezoidal Interconnect at Tight BEOL Pitch
App 20210082744 - Lanzillo; Nicholas Anthony ;   et al.
2021-03-18
Method and structure to construct cylindrical interconnects to reduce resistance
Grant 10,943,866 - Briggs , et al. March 9, 2
2021-03-09
Interconnect Structure Having Fully Aligned Vias
App 20210050260 - Park; Chanro ;   et al.
2021-02-18
Top Via Interconnects With Wrap Around Liner
App 20210043507 - Motoyama; Koichi ;   et al.
2021-02-11
Language learning and speech enhancement through natural language processing
Grant 10,916,154 - Amin , et al. February 9, 2
2021-02-09
Self-forming Barrier For Use In Air Gap Formation
App 20200402849 - Briggs; Benjamin D. ;   et al.
2020-12-24
Selective Ild Deposition For Fully Aligned Via With Airgap
App 20200388525 - Penny; Christopher J. ;   et al.
2020-12-10
Semiconductor Device Including A Porous Dielectric Layer, And Method Of Forming The Semiconductor Device
App 20200388568 - Briggs; Benjamin David ;   et al.
2020-12-10
Capacitors
Grant 10,833,149 - Basker , et al. November 10, 2
2020-11-10
Semiconductor Device With Selective Insulator For Improved Capacitance
App 20200303239 - Penny; Christopher J. ;   et al.
2020-09-24
Method and structure to construct cylindrical interconnects to reduce resistance
Grant 10,784,197 - Briggs , et al. Sept
2020-09-22
Self-aligned airgaps with conductive lines and vias
Grant 10,784,156 - Briggs , et al. Sept
2020-09-22
Binaural audio calibration
Grant 10,785,590 - Briggs , et al. Sept
2020-09-22
Selective dielectric deposition to prevent gouging in MRAM
Grant 10,770,653 - Penny , et al. Sep
2020-09-08
Self-forming barrier for use in air gap formation
Grant 10,763,166 - Briggs , et al. Sep
2020-09-01
Semiconductor device with selective insulator for improved capacitance
Grant 10,763,160 - Penny , et al. Sep
2020-09-01
Capacitance reduction in sea of lines BEOL metallization
Grant 10,679,934 - Briggs , et al.
2020-06-09
System and method for performing nano beam diffraction analysis
Grant 10,658,154 - Bergendahl , et al.
2020-05-19
Selective ILD deposition for fully aligned via with airgap
Grant 10,651,078 - Penny , et al.
2020-05-12
Semiconductor device including a porous dielectric layer, and method of forming the semiconductor device
Grant 10,629,529 - Briggs , et al.
2020-04-21
Dual-damascene formation with dielectric spacer and thin liner
Grant 10,629,478 - Briggs , et al.
2020-04-21
Metal insulator metal capacitor devices
Grant 10,629,428 - Siddiqui , et al.
2020-04-21
Computer-mediated reality including physical damping feedback
Grant 10,606,231 - Briggs , et al.
2020-03-31
Self-aligned Pattern Formation For A Semiconductor Device
App 20200090985 - Burns; Sean D. ;   et al.
2020-03-19
Hybrid Dielectric Scheme For Varying Liner Thickness And Manganese Concentration
App 20200091079 - Briggs; Benjamin D. ;   et al.
2020-03-19
Behavior-based Interactive Educational Sessions
App 20200090542 - Clevenger; Lawrence A. ;   et al.
2020-03-19
Load Leveler
App 20200081746 - Fry; Jonathan ;   et al.
2020-03-12
Proximity Correction In Three-dimensional Manufacturing
App 20200081761 - Briggs; Benjamin D. ;   et al.
2020-03-12
Self Aligned Pattern Formation Post Spacer Etchback In Tight Pitch Configurations
App 20200075336 - Burns; Sean D. ;   et al.
2020-03-05
Increasing Cost Benefit And Energy Efficiency With Modular Delivery Drones In Inclement Weather
App 20200065762 - Briggs; Benjamin D. ;   et al.
2020-02-27
Method And Structure To Construct Cylindrical Interconnects To Reduce Resistance
App 20200058590 - Briggs; Benjamin D. ;   et al.
2020-02-20
Method And Structure To Construct Cylindrical Interconnects To Reduce Resistance
App 20200058591 - Briggs; Benjamin D. ;   et al.
2020-02-20
BEOL capacitor through airgap metallization
Grant 10,566,414 - Bergendahl , et al. Feb
2020-02-18
Interconnect formation with chamferless via, and related interconnect
Grant 10,566,231 - O'Toole , et al. Feb
2020-02-18
BEOL vertical fuse formed over air gap
Grant 10,546,813 - Bergendahl , et al. Ja
2020-01-28
Proximity correction in three-dimensional manufacturing
Grant 10,545,806 - Briggs , et al. Ja
2020-01-28
Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs
Grant 10,546,774 - Burns , et al. Ja
2020-01-28
Smart Display Apparatus And Control System
App 20200026924 - Bergendahl; Marc A. ;   et al.
2020-01-23
Interconnect structure including air gaps enclosed between conductive lines and a permeable dielectric layer
Grant 10,541,206 - Briggs , et al. Ja
2020-01-21
Structure And Method For Maximizing Air Gap In Back End Of The Line Interconnect Through Via Landing Modification
App 20200013718 - Briggs; Benjamin D. ;   et al.
2020-01-09
Method and structure to construct cylindrical interconnects to reduce resistance
Grant 10,529,662 - Briggs , et al. J
2020-01-07
Self aligned pattern formation post spacer etchback in tight pitch configurations
Grant 10,529,569 - Burns , et al. J
2020-01-07
Smart display apparatus and control system
Grant 10,528,817 - Bergendahl , et al. J
2020-01-07
Self-aligned Quadruple Patterning (saqp) For Routing Layouts Including Multi-track Jogs
App 20190393082 - Burns; Sean D. ;   et al.
2019-12-26
Binaural Audio Calibration
App 20190379996 - Briggs; Benjamin David ;   et al.
2019-12-12
Blockchain Stochastic Timer Transaction Synchronization
App 20190363873 - Fry; Jonathan ;   et al.
2019-11-28
Binaural audio calibration
Grant 10,492,019 - Briggs , et al. Nov
2019-11-26
Motion-controlled Portals In Virtual Reality
App 20190355175 - Sipolins; Aldis ;   et al.
2019-11-21
BEOL capacitor through airgap metallization
Grant 10,475,878 - Bergendahl , et al. Nov
2019-11-12
Interconnect Formation With Chamferless Via, And Related Interconnect
App 20190333805 - O'Toole; Martin J. ;   et al.
2019-10-31
BEOL vertical fuse formed over air gap
Grant 10,453,793 - Bergendahl , et al. Oc
2019-10-22
Semiconductor Device Including a Porous Dielectric Layer, and Method of Forming the Semiconductor Device
App 20190279931 - Briggs; Benjamin David ;   et al.
2019-09-12
Metal Insulator Metal Capacitor Devices
App 20190279860 - SIDDIQUI; Shariq ;   et al.
2019-09-12
Selective Ild Deposition For Fully Aligned Via With Airgap
App 20190267278 - Penny; Christopher J. ;   et al.
2019-08-29
Self aligned conductive lines with relaxed overlay
Grant 10,395,985 - Burns , et al. A
2019-08-27
Multi-angled deposition and masking for custom spacer trim and selected spacer removal
Grant 10,388,525 - Bergendahl , et al. A
2019-08-20
Method And Structure To Construct Cylindrical Interconnects To Reduce Resistance
App 20190237402 - Briggs; Benjamin D. ;   et al.
2019-08-01
Air gap and air spacer pinch off
Grant 10,366,940 - Bonilla , et al. July 30, 2
2019-07-30
Semiconductor device including a porous dielectric layer, and method of forming the semiconductor device
Grant 10,366,952 - Briggs , et al. July 30, 2
2019-07-30
Method of manufacturing self-aligned interconnects by deposition of a non-conformal air-gap forming layer having an undulated upper surface
Grant 10,361,157 - Briggs , et al.
2019-07-23
Selective ILD deposition for fully aligned via with airgap
Grant 10,361,117 - Penny , et al.
2019-07-23
Multi-angled deposition and masking for custom spacer trim and selected spacer removal
Grant 10,361,079 - Bergendahl , et al.
2019-07-23
Capacitors
App 20190214456 - BASKER; Veeraraghavan S. ;   et al.
2019-07-11
Selective deposition and nitridization of bottom electrode metal for MRAM applications
Grant 10,347,825 - Briggs , et al. July 9, 2
2019-07-09
Selective Ild Deposition For Fully Aligned Via With Airgap
App 20190181033 - Penny; Christopher J. ;   et al.
2019-06-13
Smart Display Apparatus And Control System
App 20190180106 - Bergendahl; Marc A. ;   et al.
2019-06-13
Capacitance Reduction In Sea Of Lines Beol Metallization
App 20190172783 - Briggs; Benjamin D. ;   et al.
2019-06-06
Infant Gastrointestinal Monitor
App 20190167226 - Wynne; Jean ;   et al.
2019-06-06
Selective deposition and nitridization of bottom electrode metal for MRAM applications
Grant 10,312,434 - Briggs , et al.
2019-06-04
Secure Access For Drone Package Delivery
App 20190164441 - Briggs; Benjamin D. ;   et al.
2019-05-30
Self-forming Barrier For Use In Air Gap Formation
App 20190157146 - Briggs; Benjamin D. ;   et al.
2019-05-23
Computer-mediated Reality Including Physical Damping Feedback
App 20190155236 - Briggs; Benjamin D. ;   et al.
2019-05-23
Capacitors
Grant 10,283,586 - Basker , et al.
2019-05-07
Drone Delivery Routing And Communication
App 20190122177 - BRIGGS; BENJAMIN D. ;   et al.
2019-04-25
Language Learning And Speech Enhancement Through Natural Language Processing
App 20190122574 - Amin; Mahmoud ;   et al.
2019-04-25
Hybrid dielectric scheme for varying liner thickness and manganese concentration
Grant 10,256,191 - Briggs , et al.
2019-04-09
Air gap and air spacer pinch off
Grant 10,256,171 - Bonilla , et al.
2019-04-09
Air gap and air spacer pinch off
Grant 10,242,933 - Bonilla , et al.
2019-03-26
High-density MIM capacitors
Grant 10,229,967 - Briggs , et al.
2019-03-12
Self-forming barrier for use in air gap formation
Grant 10,229,851 - Briggs , et al.
2019-03-12
Dual-damascene Formation With Dielectric Spacer And Thin Liner
App 20190067087 - Briggs; Benjamin D. ;   et al.
2019-02-28
System and Method for Performing Nano Beam Diffraction Analysis
App 20190035599 - BERGENDAHL; Marc Adam ;   et al.
2019-01-31
Hybrid Dielectric Scheme For Varying Liner Thickness And Manganese Concentration
App 20190013278 - Briggs; Benjamin D. ;   et al.
2019-01-10
Air gap and air spacer pinch off
Grant 10,177,076 - Bonilla , et al. J
2019-01-08
Capacitors
Grant 10,170,540 - Basker , et al. J
2019-01-01
Airgap protection layer for via alignment
Grant 10,170,411 - Briggs , et al. J
2019-01-01
Selective blocking boundary placement for circuit locations requiring electromigration short-length
Grant 10,170,416 - Briggs , et al. J
2019-01-01
Semiconductor Device Including A Porous Dielectric Layer, And Method Of Forming The Semiconductor Device
App 20180366408 - BRIGGS; Benjamin David ;   et al.
2018-12-20
Proximity Correction In Three-dimensional Manufacturing
App 20180349220 - Briggs; Benjamin D. ;   et al.
2018-12-06
Self Aligned Pattern Formation Post Spacer Etchback In Tight Pitch Configurations
App 20180350599 - Burns; Sean D. ;   et al.
2018-12-06
Beol Vertical Fuse Formed Over Air Gap
App 20180342458 - Bergendahl; Marc A. ;   et al.
2018-11-29
Self aligned pattern formation post spacer etchback in tight pitch configurations
Grant 10,121,661 - Burns , et al. November 6, 2
2018-11-06
System and method for performing nano beam diffraction analysis
Grant 10,109,455 - Bergendahl , et al. October 23, 2
2018-10-23
Semiconductor device including a porous dielectric layer, and method of forming the semiconductor device
Grant 10,109,579 - Briggs , et al. October 23, 2
2018-10-23
BEOL vertical fuse formed over air gap
Grant 10,083,908 - Bergendahl , et al. September 25, 2
2018-09-25
Self aligned conductive lines with relaxed overlay
Grant 10,083,864 - Burns , et al. September 25, 2
2018-09-25
Skip-vias bypassing a metallization level at minimum pitch
Grant 10,083,905 - Briggs , et al. September 25, 2
2018-09-25
Binaural Audio Calibration
App 20180249271 - Briggs; Benjamin David ;   et al.
2018-08-30
Emotional Analysis And Depiction In Virtual Reality
App 20180247443 - Briggs; Benjamin D. ;   et al.
2018-08-30
Self-aligned Pattern Formation For A Semiconductor Device
App 20180247864 - Burns; Sean D. ;   et al.
2018-08-30
Selective Deposition And Nitridization Of Bottom Electrode Metal For Mram Applications
App 20180240971 - Briggs; Benjamin D. ;   et al.
2018-08-23
Beol Vertical Fuse Formed Over Air Gap
App 20180240752 - Bergendahl; Marc A. ;   et al.
2018-08-23
Selective Deposition And Nitridization Of Bottom Electrode Metal For Mram Applications
App 20180240968 - Briggs; Benjamin D. ;   et al.
2018-08-23
Self-aligned pattern formation for a semiconductor device
Grant 10,056,290 - Burns , et al. August 21, 2
2018-08-21
Self Aligned Conductive Lines With Relaxed Overlay
App 20180233408 - Burns; Sean D. ;   et al.
2018-08-16
Self-aligned Quadruple Patterning (saqp) For Routing Layouts Including Multi-track Jogs
App 20180233403 - Burns; Sean D. ;   et al.
2018-08-16
Hybrid Dielectric Scheme For Varying Liner Thickness And Manganese Concentration
App 20180211920 - Briggs; Benjamin D. ;   et al.
2018-07-26
Remote Physical Training
App 20180207484 - Briggs; Benjamin D. ;   et al.
2018-07-26
Self Aligned Pattern Formation Post Spacer Etchback In Tight Pitch Configurations
App 20180197738 - Burns; Sean D. ;   et al.
2018-07-12
Semiconductor Device Including A Porous Dielectric Layer, And Method Of Forming The Semiconductor Device
App 20180190585 - BRIGGS; Benjamin David ;   et al.
2018-07-05
System and Method for Performing Nano Beam Diffraction Analysis
App 20180190470 - BERGENDAHL; Marc Adam ;   et al.
2018-07-05
Multi-angled deposition and masking for custom spacer trim and selected spacer removal
Grant 10,002,762 - Bergendahl , et al. June 19, 2
2018-06-19
Semiconductor device including a porous dielectric layer, and method of forming the semiconductor device
Grant 9,997,451 - Briggs , et al. June 12, 2
2018-06-12
BEOL vertical fuse formed over air gap
Grant 9,997,454 - Bergendahl , et al. June 12, 2
2018-06-12
Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs
Grant 9,991,156 - Burns , et al. June 5, 2
2018-06-05
Airgap Protection Layer For Via Alignment
App 20180151491 - Briggs; Benjamin D. ;   et al.
2018-05-31
System and method for performing nano beam diffraction analysis
Grant 9,978,560 - Bergendahl , et al. May 22, 2
2018-05-22
Aligning conductive vias with trenches
Grant 9,972,533 - Burns , et al. May 15, 2
2018-05-15
Fully aligned via with integrated air gaps
Grant 9,966,337 - Briggs , et al. May 8, 2
2018-05-08
Ion flow barrier structure for interconnect metallization
Grant 9,966,305 - Demarest , et al. May 8, 2
2018-05-08
BEOL Capacitor Through Airgap Metallization
App 20180122892 - Bergendahl; Marc A. ;   et al.
2018-05-03
Air gap semiconductor structure with selective cap bilayer
Grant 9,960,117 - Gates , et al. May 1, 2
2018-05-01
Skip-vias Bypassing A Metallization Level At Minimum Pitch
App 20180114752 - Briggs; Benjamin D. ;   et al.
2018-04-26
Selective Blocking Boundary Placement For Circuit Locations Requiring Electromigration Short-length
App 20180114750 - Briggs; Benjamin D. ;   et al.
2018-04-26
Air Gap And Air Spacer Pinch Off
App 20180108596 - Bonilla; Griselda ;   et al.
2018-04-19
Self aligned pattern formation post spacer etchback in tight pitch configurations
Grant 9,934,970 - Burns , et al. April 3, 2
2018-04-03
Heterogeneous Metallization Using Solid Diffusion Removal Of Metal Interconnects
App 20180090372 - Briggs; Benjamin D. ;   et al.
2018-03-29
Air Gap And Air Spacer Pinch Off
App 20180090587 - Bonilla; Griselda ;   et al.
2018-03-29
Air Gap And Air Spacer Pinch Off
App 20180090418 - Bonilla; Griselda ;   et al.
2018-03-29
Air Gap And Air Spacer Pinch Off
App 20180090588 - Bonilla; Griselda ;   et al.
2018-03-29
Airgap protection layer for via alignment
Grant 9,929,088 - Briggs , et al. March 27, 2
2018-03-27
Self-aligned Airgaps With Conductive Lines And Vias
App 20180082885 - Briggs; Benjamin D. ;   et al.
2018-03-22
Multi-angled Deposition And Masking For Custom Spacer Trim And Selected Spacer Removal
App 20180076035 - Bergendahl; Marc A. ;   et al.
2018-03-15
Multi-angled Deposition And Masking For Custom Spacer Trim And Selected Spacer Removal
App 20180076034 - Bergendahl; Marc A. ;   et al.
2018-03-15
Multi-angled Deposition And Masking For Custom Spacer Trim And Selected Spacer Removal
App 20180076033 - Bergendahl; Marc A. ;   et al.
2018-03-15
Self aligned conductive lines
Grant 9,911,647 - Burns , et al. March 6, 2
2018-03-06
Skip-vias bypassing a metallization level at minimum pitch
Grant 9,911,651 - Briggs , et al. March 6, 2
2018-03-06
Self-forming Barrier For Use In Air Gap Formation
App 20180061708 - Briggs; Benjamin D. ;   et al.
2018-03-01
BEOL Capacitor Through Airgap Metallization
App 20180061933 - Bergendahl; Marc A. ;   et al.
2018-03-01
Selective blocking boundary placement for circuit locations requiring electromigration short-length
Grant 9,905,513 - Briggs , et al. February 27, 2
2018-02-27
Structure and fabrication method for enhanced mechanical strength crack stop
Grant 9,899,338 - Briggs , et al. February 20, 2
2018-02-20
Self-aligned airgaps with conductive lines and vias
Grant 9,899,256 - Briggs , et al. February 20, 2
2018-02-20
Structure And Fabrication Method For Enhanced Mechanical Strength Crack Stop
App 20180047676 - Briggs; Benjamin D. ;   et al.
2018-02-15
Aligning Conductive Vias With Trenches
App 20180025943 - Burns; Sean D. ;   et al.
2018-01-25
Self Aligned Conductive Lines With Relaxed Overlay
App 20180005885 - Burns; Sean D. ;   et al.
2018-01-04
System And Method For Performing Nano Beam Diffraction Analysis
App 20180005798 - BERGENDAHL; Marc Adam ;   et al.
2018-01-04
Self-aligned Pattern Formation For A Semiconductor Device
App 20180005875 - Burns; Sean D. ;   et al.
2018-01-04
Semiconductor Device Including A Porous Dielectric Layer, And Method Of Forming The Semiconductor Device
App 20180005941 - BRIGGS; Benjamin David ;   et al.
2018-01-04
Self-aligned Airgaps With Conductive Lines And Vias
App 20180005868 - Briggs; Benjamin D. ;   et al.
2018-01-04
Self aligned conductive lines
Grant 9,852,946 - Burns , et al. December 26, 2
2017-12-26
Self Aligned Conductive Lines
App 20170358492 - Burns; Sean D. ;   et al.
2017-12-14
Self Aligned Conductive Lines
App 20170358487 - Burns; Sean D. ;   et al.
2017-12-14
Self-aligned Quadruple Patterning (saqp) For Routing Layouts Including Multi-track Jogs
App 20170352585 - Burns; Sean D. ;   et al.
2017-12-07
Forming deep airgaps without flop over
Grant 9,837,305 - Briggs , et al. December 5, 2
2017-12-05
High-density MIM capacitors
Grant 9,837,485 - Briggs , et al. December 5, 2
2017-12-05
Method for maximizing air gap in back end of the line interconnect through via landing modification
Grant 9,837,355 - Briggs , et al. December 5, 2
2017-12-05
Structure and fabrication method for enhanced mechanical strength crack stop
Grant 9,824,982 - Briggs , et al. November 21, 2
2017-11-21
Structure And Method For Maximizing Air Gap In Back End Of The Line Interconnect Through Via Landing Modification
App 20170317025 - Briggs; Benjamin D. ;   et al.
2017-11-02
High-density Mim Capacitors
App 20170301749 - Briggs; Benjamin D. ;   et al.
2017-10-19
Airgap Protection Layer For Via Alignment
App 20170301621 - Briggs; Benjamin D. ;   et al.
2017-10-19
Heterogeneous metallization using solid diffusion removal of metal interconnects
Grant 9,793,206 - Briggs , et al. October 17, 2
2017-10-17
Ion flow barrier structure for interconnect metallization
Grant 9,793,213 - Demarest , et al. October 17, 2
2017-10-17
Air gap and air spacer pinch off
Grant 9,793,193 - Bonilla , et al. October 17, 2
2017-10-17
Self aligned conductive lines
Grant 9,786,554 - Burns , et al. October 10, 2
2017-10-10
Air gap and air spacer pinch off
Grant 9,786,760 - Bonilla , et al. October 10, 2
2017-10-10
High-density Mim Capacitors
App 20170288011 - Briggs; Benjamin D. ;   et al.
2017-10-05
Hybrid airgap structure with oxide liner
Grant 9,780,027 - Bergendahl , et al. October 3, 2
2017-10-03
Method and structure for cut material selection
Grant 9,779,944 - Burns , et al. October 3, 2
2017-10-03
Method for Maximizing Air Gap in Back End of the Line Interconnect through Via Landing Modification
App 20170278796 - Briggs; Benjamin D. ;   et al.
2017-09-28
Structure And Method For Maximizing Air Gap In Back End Of The Line Interconnect Through Via Landing Modification
App 20170278740 - Briggs; Benjamin D. ;   et al.
2017-09-28
Structure And Method For Maximizing Air Gap In Back End Of The Line Interconnect Through Via Landing Modification
App 20170278788 - Briggs; Benjamin D. ;   et al.
2017-09-28
Aligning conductive vias with trenches
Grant 9,773,700 - Burns , et al. September 26, 2
2017-09-26
Beol Vertical Fuse Formed Over Air Gap
App 20170243822 - Bergendahl; Marc A. ;   et al.
2017-08-24
Beol Vertical Fuse Formed Over Air Gap
App 20170243821 - Bergendahl; Marc A. ;   et al.
2017-08-24
Ion Flow Barrier Structure For Interconnect Metallization
App 20170236784 - Demarest; James J. ;   et al.
2017-08-17
Ion Flow Barrier Structure For Interconnect Metallization
App 20170236748 - Demarest; James J. ;   et al.
2017-08-17
Method of forming an air gap semiconductor structure with selective cap bilayer
Grant 9,711,455 - Gates , et al. July 18, 2
2017-07-18
Forming chamferless vias using thermally decomposable porefiller
Grant 9,685,366 - Briggs , et al. June 20, 2
2017-06-20
BEOL vertical fuse formed over air gap
Grant 9,666,528 - Bergendahl , et al. May 30, 2
2017-05-30
Method and structure to reduce the electric field in semiconductor wiring interconnects
Grant 9,666,529 - Huang , et al. May 30, 2
2017-05-30
Hybrid Airgap Structure With Oxide Liner
App 20170141030 - Bergendahl; Marc A. ;   et al.
2017-05-18
Capacitors
App 20170141184 - BASKER; Veeraraghavan S. ;   et al.
2017-05-18
Capacitors
Grant 9,607,943 - Basker , et al. March 28, 2
2017-03-28
Self aligned conductive lines with relaxed overlay
Grant 9,607,886 - Burns , et al. March 28, 2
2017-03-28
Airgap protection layer for via alignment
Grant 9,553,019 - Briggs , et al. January 24, 2
2017-01-24
Capacitors
App 20160365312 - BASKER; Veeraraghavan S. ;   et al.
2016-12-15
Capacitors
App 20160365314 - BASKER; Veeraraghavan S. ;   et al.
2016-12-15
Hybrid airgap structure with oxide liner
Grant 9,449,871 - Bergendahl , et al. September 20, 2
2016-09-20
Method and structure to reduce the electric field in semiconductor wiring interconnects
Grant 9,379,057 - Huang , et al. June 28, 2
2016-06-28
Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
Grant 9,349,687 - Gates , et al. May 24, 2
2016-05-24
Air Gap Structure With Bilayer Selective Cap
App 20160133575 - Gates; Stephen M. ;   et al.
2016-05-12
Air Gap Structure With Bilayer Selective Cap
App 20160133508 - Gates; Stephen M. ;   et al.
2016-05-12
Air gap semiconductor structure with selective cap bilayer
Grant 9,305,836 - Gates , et al. April 5, 2
2016-04-05
Method And Structure To Reduce The Electric Field In Semiconductor Wiring Interconnects
App 20160064330 - Huang; Elbert Emin ;   et al.
2016-03-03
Method And Structure To Reduce The Electric Field In Semiconductor Wiring Interconnects
App 20160064321 - Huang; Elbert Emin ;   et al.
2016-03-03
Profile control in interconnect structures
Grant 9,105,641 - Chen , et al. August 11, 2
2015-08-11
Profile Control In Interconnect Structures
App 20150035154 - Chen; Shyng-Tsong ;   et al.
2015-02-05
Method of fabricating a profile control in interconnect structures
Grant 8,835,305 - Yang , et al. September 16, 2
2014-09-16
Profile Control In Interconnect Structures
App 20140035142 - Yang; Chih-Chao ;   et al.
2014-02-06
Method for integrating liner formation in back end of line processing
Grant 7,544,609 - Angyal , et al. June 9, 2
2009-06-09
Method for Integrating Liner Formation in Back End of Line Processing
App 20080194099 - Angyal; Matthew S. ;   et al.
2008-08-14

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed