loadpatents
name:-0.45471787452698
name:-0.80891799926758
name:-0.11018896102905
NEMANI; Srinivas D. Patent Filings

NEMANI; Srinivas D.

Patent Applications and Registrations

Patent applications and USPTO patent grants for NEMANI; Srinivas D..The latest application filed is for "integrated platform for tin pvd and high-k ald for beol mim capacitor".

Company Profile
90.166.200
  • NEMANI; Srinivas D. - Saratoga CA
  • Nemani; Srinivas D. - Sunnyvale CA
  • Nemani; Srinivas D - Sunnyvale CA
  • Nemani; Srinivas D. - Santa Clara CA
  • NEMANI; Srinivas D. - San Jose CA
  • Nemani; Srinivas D - San Jose CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Integrated Platform For Tin Pvd And High-k Ald For Beol Mim Capacitor
App 20220310776 - WONG; Keith Tatseun ;   et al.
2022-09-29
Methods And Apparatus For Processing A Substrate
App 20220301867 - TANNOS; Jethro ;   et al.
2022-09-22
Methods And Apparatus For Processing A Substrate
App 20220298636 - ASRANI; Soham Sunjay ;   et al.
2022-09-22
Multiple sequential linear powder dispensers for additive manufacturing
Grant 11,446,740 - Rowland , et al. September 20, 2
2022-09-20
Lithography process window enhancement for photoresist patterning
Grant 11,429,026 - Dai , et al. August 30, 2
2022-08-30
Apparatus For Post Exposure Bake Of Photoresist
App 20220269180 - BUCHBERGER, JR.; Douglas A. ;   et al.
2022-08-25
Apparatus For Post Exposure Bake Of Photoresist
App 20220269179 - BUCHBERGER, JR.; Douglas A. ;   et al.
2022-08-25
Apparatus For Post Exposure Bake Of Photoresist
App 20220260917 - BUCHBERGER, JR.; Douglas A. ;   et al.
2022-08-18
Methods And Apparatus For Processing A Substrate
App 20220230887 - SHEK; Meiyee ;   et al.
2022-07-21
Multi-source ion beam etch system
Grant 11,387,071 - Liang , et al. July 12, 2
2022-07-12
Apparatus For Post Exposure Bake Of Photoresist
App 20220199414 - BUCHBERGER, JR.; Douglas A. ;   et al.
2022-06-23
Gas delivery module
Grant 11,361,978 - Khan , et al. June 14, 2
2022-06-14
Methods For Graphene Formation
App 20220172948 - Zhou; Jie ;   et al.
2022-06-02
Metal Based Hydrogen Barrier
App 20220157654 - Gandikota; Srinivas ;   et al.
2022-05-19
Monopole Antenna Array Source For Semiconductor Process Equipment
App 20220139668 - Liang; Qiwei ;   et al.
2022-05-05
Substrate vacuum transport and storage apparatus
Grant 11,302,549 - Thirunavukarasu , et al. April 12, 2
2022-04-12
Method of patterning a low-k dielectric film
Grant 11,302,519 - Nemani , et al. April 12, 2
2022-04-12
Method Of Forming A 2-dimensional Channel Material Using Ion Implantation
App 20220108886 - Wong; Keith T. ;   et al.
2022-04-07
Methods for graphene formation using microwave surface-wave plasma on dielectric materials
Grant 11,289,331 - Zhou , et al. March 29, 2
2022-03-29
Film Structure For Electric Field Assisted Bake Process
App 20220091513 - BANGAR; Mangesh Ashok ;   et al.
2022-03-24
Monopole antenna array source for semiconductor process equipment
Grant 11,244,808 - Liang , et al. February 8, 2
2022-02-08
Substrate transfer blade
Grant D941,787 - Malik , et al. January 25, 2
2022-01-25
Monopole antenna array source with gas supply or grid filter for semiconductor process equipment
Grant 11,222,769 - Liang , et al. January 11, 2
2022-01-11
Method And Apparatus For Post Exposure Processing Of Photoresist Wafers
App 20220004104 - BABAYAN; Viachslav ;   et al.
2022-01-06
Methods and apparatuses for forming interconnection structures
Grant 11,205,589 - Ren , et al. December 21, 2
2021-12-21
Methods For Depositing Dielectric Material
App 20210384040 - CITLA; Bhargav S. ;   et al.
2021-12-09
Self-assembled Monolayer Deposition From Low Vapor Pressure Organic Molecules
App 20210375600 - Liang; Qiwei ;   et al.
2021-12-02
Selective Deposition Of Metal Oxide By Pulsed Chemical Vapor Deposition
App 20210317573 - WONG; Keith Tatseun ;   et al.
2021-10-14
Methods for etching a structure for MRAM applications
Grant 11,145,808 - Kim , et al. October 12, 2
2021-10-12
Additive Patterning Of Semiconductor Film Stacks
App 20210305501 - DUKOVIC; John O. ;   et al.
2021-09-30
Photoresist Patterning Process
App 20210294215 - DAI; Huixiong ;   et al.
2021-09-23
Lithography Process Window Enhancement For Photoresist Patterning
App 20210294216 - Dai; Huixiong ;   et al.
2021-09-23
Methods for depositing dielectric material
Grant 11,114,306 - Citla , et al. September 7, 2
2021-09-07
Method and apparatus for post exposure processing of photoresist wafers
Grant 11,112,697 - Babayan , et al. September 7, 2
2021-09-07
Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
Grant 11,114,333 - Nemani , et al. September 7, 2
2021-09-07
Multi-step Process For Flowable Gap-fill Film
App 20210257252 - CLEMONS; Maximillian ;   et al.
2021-08-19
High Pressure Annealing Process For Metal Containing Materials
App 20210257221 - SINGH; Kaushal K. ;   et al.
2021-08-19
Method and apparatus for thin wafer carrier
Grant 11,094,573 - Qiao , et al. August 17, 2
2021-08-17
Magnetic Memory And Method Of Fabrication
App 20210234091 - Kim; Jong Mun ;   et al.
2021-07-29
Pin-less Substrate Transfer Apparatus And Method For A Processing Chamber
App 20210225687 - MALIK; Sultan ;   et al.
2021-07-22
Chemical delivery chamber for self-assembled monolayer processes
Grant 11,066,747 - Liang , et al. July 20, 2
2021-07-20
Methods And Apparatus For Carbon Compound Film Deposition
App 20210217585 - LIANG; Qiwei ;   et al.
2021-07-15
Additive patterning of semiconductor film stacks
Grant 11,049,537 - Dukovic , et al. June 29, 2
2021-06-29
Methods Of Forming Amorphous Carbon Hard Mask Layers And Hard Mask Layers Formed Therefrom
App 20210193461 - CITLA; Bhargav S. ;   et al.
2021-06-24
Silicon Carbonitride Gapfill With Tunable Carbon Content
App 20210189555 - Shek; Mei-Yee ;   et al.
2021-06-24
Graphene Diffusion Barrier
App 20210167021 - Wu; Yong ;   et al.
2021-06-03
Methods for etching a structure for MRAM Applications
App 20210143323 - KIM; Jong Mun ;   et al.
2021-05-13
Process chamber for field guided exposure and method for implementing the process chamber
Grant 11,003,080 - Ramaswamy , et al. May 11, 2
2021-05-11
High pressure annealing process for metal containing materials
Grant 10,998,200 - Singh , et al. May 4, 2
2021-05-04
PROCESS TO IMPROVE INTERFACE STATE DENSITY Dit ON DEEP TRENCH ISOLATION (DTI) FOR CMOS IMAGE SENSOR
App 20210111222 - Li; Philip Hsin-hua ;   et al.
2021-04-15
Methods And Apparatuses For Forming Interconnection Structures
App 20210104434 - Ren; He ;   et al.
2021-04-08
Multi-source Ion Beam Etch System
App 20210104374 - Liang; Qiwei ;   et al.
2021-04-08
Methods And Apparatus For Depositing Dielectric Material
App 20210090883 - Citla; Bhargav S. ;   et al.
2021-03-25
Lithography Simulation And Optical Proximity Correction
App 20210088896 - DAI; Huixiong ;   et al.
2021-03-25
High temperature vapor delivery system and method
Grant 10,954,594 - Babayan , et al. March 23, 2
2021-03-23
Chamber with individually controllable plasma generation regions for a reactor for processing a workpiece
Grant 10,957,518 - Ramaswamy , et al. March 23, 2
2021-03-23
Low vapor pressure chemical delivery
Grant 10,947,621 - Khan , et al. March 16, 2
2021-03-16
Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
Grant 10,950,429 - Citla , et al. March 16, 2
2021-03-16
Extension of PVD chamber with multiple reaction gases, high bias power, and high power impulse source for deposition, implantation, and treatment
Grant 10,927,449 - Liu , et al. February 23, 2
2021-02-23
Process Control Of Electric Field Guided Photoresist Baking Process
App 20210041785 - DAI; Huixiong ;   et al.
2021-02-11
Methods of forming metal silicide layers and metal silicide layers formed therefrom
Grant 10,916,433 - Ren , et al. February 9, 2
2021-02-09
Formation of crystalline, layered transition metal dichalcogenides
Grant 10,916,426 - Wong , et al. February 9, 2
2021-02-09
Graphene diffusion barrier
Grant 10,916,505 - Wu , et al. February 9, 2
2021-02-09
Additive Patterning Of Semiconductor Film Stacks
App 20210035619 - DUKOVIC; John O. ;   et al.
2021-02-04
Flowable Film Curing Using H2 Plasma
App 20210025058 - JIANG; Shishi ;   et al.
2021-01-28
Enhanced selective deposition process
Grant 10,892,161 - Liu , et al. January 12, 2
2021-01-12
Electrostatic chucking force measurement tool for process chamber carriers
Grant 10,879,094 - Nemani , et al. December 29, 2
2020-12-29
High density, low stress amorphous carbon film, and process and equipment for its deposition
Grant 10,858,727 - Liu , et al. December 8, 2
2020-12-08
Metal Based Hydrogen Barrier
App 20200373200 - Gandikota; Srinivas ;   et al.
2020-11-26
High pressure treatment of silicon nitride film
Grant 10,847,360 - Wong , et al. November 24, 2
2020-11-24
Gas Delivery System For High Pressure Processing Chamber
App 20200350183 - LIANG; Qiwei ;   et al.
2020-11-05
Directional treatment for multi-dimensional device processing
Grant 10,825,665 - Godet , et al. November 3, 2
2020-11-03
Gas Delivery Module
App 20200343103 - KHAN; Adib M. ;   et al.
2020-10-29
Silicon nitride films with high nitrogen content
Grant 10,811,250 - Basu , et al. October 20, 2
2020-10-20
Chamber With Individually Controllable Plasma Generation Regions For A Reactor For Processing A Workpiece
App 20200312630 - Ramaswamy; Kartik ;   et al.
2020-10-01
High Selectivity Atomic Later Deposition Process
App 20200303183 - AHLES; Christopher ;   et al.
2020-09-24
High Selectivity Atomic Layer Deposition Process
App 20200283898 - Choi; Jong ;   et al.
2020-09-10
Gas delivery module
Grant 10,748,783 - Khan , et al. A
2020-08-18
Film Structure For Electric Field Guided Photoresist Patterning Process
App 20200233307 - DAI; Huixiong ;   et al.
2020-07-23
Gas delivery system for high pressure processing chamber
Grant 10,720,341 - Liang , et al.
2020-07-21
Method to fabricate thermally stable low K-FinFET spacer
Grant 10,714,331 - Balseanu , et al.
2020-07-14
In-situ CVD and ALD coating of chamber to control metal contamination
Grant 10,704,141 - Malik , et al.
2020-07-07
Methods of patterning nickel silicide layers on a semiconductor device
Grant 10,692,734 - Kim , et al.
2020-06-23
Semiconductor Processing System
App 20200185260 - MALIK; Sultan ;   et al.
2020-06-11
Cluster processing system for forming a transition metal material
App 20200161176 - WONG; Keith Tatseun ;   et al.
2020-05-21
Method And Apparatus For Thin Wafer Carrier
App 20200161156 - QIAO; Jingyu ;   et al.
2020-05-21
Methods Of Patterning Nickel Silicide Layers On A Semiconductor Device
App 20200135492 - KIM; JONG MUN ;   et al.
2020-04-30
Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
Grant 10,636,704 - Mebarki , et al.
2020-04-28
Methods For Graphene Formation
App 20200105525 - Zhou; Jie ;   et al.
2020-04-02
Methods For Depositing Dielectric Material
App 20200090946 - CITLA; Bhargav S. ;   et al.
2020-03-19
Gas control in process chamber
Grant 10,590,530 - Liang , et al.
2020-03-17
Selective deposition of metal silicides
Grant 10,586,707 - Hung , et al.
2020-03-10
Method to improve film quality for PVD carbon with reactive gas and bias power
Grant 10,570,506 - Citla , et al. Feb
2020-02-25
Method to improve film stability
Grant 10,566,188 - Clemons , et al. Feb
2020-02-18
Multi-cassette carrying case
Grant 10,566,226 - Thirunavukarasu , et al. Feb
2020-02-18
Graphene Diffusion Barrier
App 20200051920 - Wu; Yong ;   et al.
2020-02-13
Gas Delivery Module
App 20200035509 - KHAN; Adib M. ;   et al.
2020-01-30
Processing Apparatus
App 20200035513 - KHAN; Adib ;   et al.
2020-01-30
High pressure wafer processing systems and related methods
Grant 10,529,603 - Liang , et al. J
2020-01-07
In-situ Cvd And Ald Coating Of Chamber To Control Metal Contamination
App 20190368035 - MALIK; Sultan ;   et al.
2019-12-05
Formation Of Crystalline, Layered Transition Metal Dichalcogenides
App 20190362971 - WONG; Keith Tatseun ;   et al.
2019-11-28
Methods Of Patterning A Wafer Substrate
App 20190362965 - WONG; Keith Tatseun ;   et al.
2019-11-28
Method To Improve Film Stability
App 20190355579 - CLEMONS; Maximillian ;   et al.
2019-11-21
Methods Of Forming Amorphous Carbon Hard Mask Layers And Hard Mask Layers Formed Therefrom
App 20190348283 - CITLA; Bhargav S. ;   et al.
2019-11-14
Method and apparatus for post exposure processing of photoresist wafers
Grant 10,474,033 - Babayan , et al. Nov
2019-11-12
Multi-cassette Carrying Case
App 20190326147 - THIRUNAVUKARASU; SRISKANTHARAJAH ;   et al.
2019-10-24
Substrate Transfer Chamber
App 20190326146 - THIRUNAVUKARASU; SRISKANTHARAJAH ;   et al.
2019-10-24
Method To Fabricate Thermally Stable Low K-finfet Spacer
App 20190311896 - BALSEANU; Mihaela ;   et al.
2019-10-10
Methods Of Forming Metal Silicide Layers And Metal Silicide Layers Formed Therefrom
App 20190311908 - REN; He ;   et al.
2019-10-10
Microwave anneal to improve CVD metal gap-fill and throughput
Grant 10,438,849 - Ren , et al. O
2019-10-08
Integrated Cluster Tool For Selective Area Deposition
App 20190301009 - KAUFMAN-OSBORN; Tobin ;   et al.
2019-10-03
Hybrid laser and implant treatment for overlay error correction
Grant 10,429,747 - Bangar , et al. O
2019-10-01
Monopole antenna array source with phase shifted zones for semiconductor process equipment
Grant 10,431,427 - Liang , et al. O
2019-10-01
High Pressure Annealing Process For Metal Containing Materials
App 20190279879 - SINGH; Kaushal K. ;   et al.
2019-09-12
Method For Processing A Mask Substrate To Enable Better Film Quality
App 20190258153 - NEMANI; Srinivas D. ;   et al.
2019-08-22
Method For Depositing And Reflow Of A High Quality Etch Resistant Gapfill Dielectric Film
App 20190259625 - NEMANI; Srinivas D. ;   et al.
2019-08-22
Diamond Like Carbon Layer Formed By An Electron Beam Plasma Process
App 20190228970 - YANG; Yang ;   et al.
2019-07-25
Integrated cluster tool for selective area deposition
Grant 10,358,715 - Kaufman-Osborn , et al.
2019-07-23
Substrate transfer chamber
Grant 10,347,516 - Thirunavukarasu , et al. July 9, 2
2019-07-09
High Pressure Wafer Processing Systems And Related Methods
App 20190198367 - LIANG; Qiwei ;   et al.
2019-06-27
Gas Control In Process Chamber
App 20190194805 - LIANG; Qiwei ;   et al.
2019-06-27
Method And Apparatus For Post Exposure Processing Of Photoresist Wafers
App 20190187563 - BABAYAN; Viachslav ;   et al.
2019-06-20
Low-temperature atomic layer deposition of boron nitride and BN structures
Grant 10,297,441 - Wolf , et al.
2019-05-21
Gas Delivery System For High Pressure Processing Chamber
App 20190148178 - LIANG; Qiwei ;   et al.
2019-05-16
Enhanced Selective Deposition Process
App 20190148144 - LIU; Biao ;   et al.
2019-05-16
Gas Delivery System For High Pressure Processing Chamber
App 20190119769 - KHAN; Adib ;   et al.
2019-04-25
Low Vapor Pressure Chemical Delivery
App 20190119813 - KHAN; Adib ;   et al.
2019-04-25
Methods for fabricating nanowire for semiconductor applications
Grant 10,269,571 - Wong , et al.
2019-04-23
Selective Deposition Of Metal Silicides
App 20190103278 - HUNG; Raymond ;   et al.
2019-04-04
Diamond like carbon layer formed by an electron beam plasma process
Grant 10,249,495 - Yang , et al.
2019-04-02
Gas control in process chamber
Grant 10,240,232 - Liang , et al.
2019-03-26
Methods of etching films with reduced surface roughness
Grant 10,233,547 - Schmiege , et al.
2019-03-19
High pressure wafer processing systems and related methods
Grant 10,224,224 - Liang , et al.
2019-03-05
Seam-healing Method Upon Supra-atmospheric Process In Diffusion Promoting Ambient
App 20190051557 - MEBARKI; Bencherki ;   et al.
2019-02-14
Microwave Reactor For Deposition or Treatment of Carbon Compounds
App 20190051495 - Liang; Qiwei ;   et al.
2019-02-14
Method and apparatus for post exposure processing of photoresist wafers
Grant 10,203,604 - Babayan , et al. Feb
2019-02-12
Methods For Fabricating Nanowire For Semiconductor Applications
App 20190019681 - WONG; Keith Tatseun ;   et al.
2019-01-17
Process Chamber For Field Guided Exposure And Method For Implementing The Process Chamber
App 20190018322 - RAMASWAMY; Kartik ;   et al.
2019-01-17
Gas Delivery System For High Pressure Processing Chamber
App 20190017131 - Khan; Adib M. ;   et al.
2019-01-17
Gas delivery system for high pressure processing chamber
Grant 10,179,941 - Khan , et al. Ja
2019-01-15
Silicon Nitride Films With High Nitrogen Content
App 20190013197 - Basu; Atashi ;   et al.
2019-01-10
Methods and apparatus for transferring a substrate
Grant 10,153,187 - Thirunavukarasu , et al. Dec
2018-12-11
Monopole Antenna Array Source With Gas Supply Or Grid Filter For Semiconductor Process Equipment
App 20180342374 - Liang; Qiwei ;   et al.
2018-11-29
High Pressure Treatment Of Silicon Nitride Film
App 20180342384 - Wong; Keith Tatseun ;   et al.
2018-11-29
Monopole Antenna Array Source With Phase Shifted Zones For Semiconductor Process Equipment
App 20180342372 - Liang; Qiwei ;   et al.
2018-11-29
Monopole Antenna Array Source For Semiconductor Process Equipment
App 20180342373 - Liang; Qiwei ;   et al.
2018-11-29
Methods for forming fin structures with desired profile for 3D structure semiconductor applications
Grant 10,128,337 - Zhou , et al. November 13, 2
2018-11-13
Process chamber for field guided exposure and method for implementing the process chamber
Grant 10,095,114 - Ramaswamy , et al. October 9, 2
2018-10-09
Gapfill film modification for advanced CMP and recess flow
Grant 10,096,512 - Chen , et al. October 9, 2
2018-10-09
High Pressure Wafer Processing Systems And Related Methods
App 20180261480 - LIANG; Qiwei ;   et al.
2018-09-13
High Pressure Wafer Processing Systems And Related Methods
App 20180258533 - Liang; Qiwei ;   et al.
2018-09-13
Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
Grant 10,049,927 - Mebarki , et al. August 14, 2
2018-08-14
Linear Powder Dispenser That Raster Scans For Additive Manufacturing
App 20180221949 - Rowland; Christopher A. ;   et al.
2018-08-09
Multiple Sequential Linear Powder Dispensers For Additive Manufacturing
App 20180221948 - Rowland; Christopher A. ;   et al.
2018-08-09
Extension Of Pvd Chamber With Multiple Reaction Gases, High Bias Power, And High Power Impulse Source For Deposition, Implantation, And Treatment
App 20180209035 - LIU; Jingjing ;   et al.
2018-07-26
Method To Improve Film Quality For Pvd Carbon With Reactive Gas And Bias Power
App 20180209037 - CITLA; Bhargav ;   et al.
2018-07-26
Methods of Etching Films with Reduced Surface Roughness
App 20180195179 - Schmiege; Benjamin ;   et al.
2018-07-12
Method and apparatus for backside cleaning of substrates
Grant 9,993,853 - Thirunavukarasu , et al. June 12, 2
2018-06-12
Electrostatic Chucking Force Measurement Tool For Process Chamber Carriers
App 20180144959 - Nemani; Srinivas D. ;   et al.
2018-05-24
Self-aligned multiple spacer patterning schemes for advanced nanometer technology
Grant 9,978,596 - Zhang , et al. May 22, 2
2018-05-22
Hybrid Laser And Implant Treatment For Overlay Error Correction
App 20180136569 - BANGAR; Mangesh ;   et al.
2018-05-17
Stress Balanced Electrostatic Substrate Carrier With Contacts
App 20180122679 - Roy; Shambhu N. ;   et al.
2018-05-03
Plasma poisoning to enable selective deposition
Grant 9,947,539 - Godet , et al. April 17, 2
2018-04-17
Independently Controllable Powder Delivery For Additive Manufacturing
App 20180065178 - Rowland; Christopher A. ;   et al.
2018-03-08
Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
Grant 9,911,594 - Nemani , et al. March 6, 2
2018-03-06
High Density, Low Stress Amorphous Carbon Film, And Process And Equipment For Its Deposition
App 20180051368 - Liu; Jingjing ;   et al.
2018-02-22
Methods of etching films with reduced surface roughness
Grant 9,896,770 - Schmiege , et al. February 20, 2
2018-02-20
Substrate Support With In Situ Wafer Rotation
App 20180033673 - Nemani; Srinivas D. ;   et al.
2018-02-01
Processed Wafer As Top Plate Of A Workpiece Carrier In Semiconductor And Mechanical Processing
App 20180025931 - Nemani; Srinivas D. ;   et al.
2018-01-25
Silicide phase control by confinement
Grant 9,865,466 - Mebarki , et al. January 9, 2
2018-01-09
Diamond Like Carbon Layer Formed By An Electron Beam Plasma Process
App 20170372899 - YANG; Yang ;   et al.
2017-12-28
Single platform, multiple cycle spacer deposition and etch
Grant 9,852,916 - Chen , et al. December 26, 2
2017-12-26
Method And Apparatus For Post Exposure Processing Of Photoresist Wafers
App 20170363960 - BABAYAN; Viachslav ;   et al.
2017-12-21
Protective via cap for improved interconnect performance
Grant 9,847,289 - Naik , et al. December 19, 2
2017-12-19
Methods for forming 2-dimensional self-aligned vias
Grant 9,847,252 - Mebarki , et al. December 19, 2
2017-12-19
Seam-healing Method Upon Supra-atmospheric Process In Diffusion Promoting Ambient
App 20170358490 - MEBARKI; Bencherki ;   et al.
2017-12-14
Integrated Cluster Tool For Selective Area Deposition
App 20170350004 - KAUFMAN-OSBORN; Tobin ;   et al.
2017-12-07
Methods For Forming Fin Structures With Desired Profile For 3d Structure Semiconductor Applications
App 20170352726 - ZHOU; Jie ;   et al.
2017-12-07
Immersion field guided exposure and post-exposure bake process
Grant 9,829,790 - Buchberger, Jr. , et al. November 28, 2
2017-11-28
Plasma Poisoning To Enable Selective Deposition
App 20170323778 - Godet; Ludovic ;   et al.
2017-11-09
Chemical Delivery Chamber For Self-assembled Monolayer Processes
App 20170306491 - LIANG; Qiwei ;   et al.
2017-10-26
Microwave Anneal To Improve Cvd Metal Gap-fill And Throughput
App 20170309515 - Ren; He ;   et al.
2017-10-26
Methods For Forming 2-dimensional Self-aligned Vias
App 20170294348 - MEBARKI; Bencherki ;   et al.
2017-10-12
Integrated Metrology And Process Tool To Enable Local Stress/overlay Correction
App 20170287752 - GODET; Ludovic ;   et al.
2017-10-05
Advanced process flow for high quality FCVD films
Grant 9,777,378 - Nemani , et al. October 3, 2
2017-10-03
3D material modification for advanced processing
Grant 9,773,675 - Godet , et al. September 26, 2
2017-09-26
Selective deposition utilizing masks and directional plasma treatment
Grant 9,754,791 - Godet , et al. September 5, 2
2017-09-05
Etching oxide-nitride stacks using C.sub.4F.sub.6H.sub.2
Grant 9,748,366 - Kim , et al. August 29, 2
2017-08-29
Localized stress modulation for overlay and EPE
Grant 9,748,148 - Yieh , et al. August 29, 2
2017-08-29
Cyclic spacer etching process with improved profile control
Grant 9,721,807 - Zhou , et al. August 1, 2
2017-08-01
Plasma poisoning to enable selective deposition
Grant 9,716,005 - Godet , et al. July 25, 2
2017-07-25
Additive Manufacturing With Laser And Plasma
App 20170203364 - Ramaswamy; Kartik ;   et al.
2017-07-20
Layerwise Heating, Linewise Heating, Plasma Heating And Multiple Feed Materials In Additive Manufacturing
App 20170203363 - Rowland; Christopher A. ;   et al.
2017-07-20
Method for patterning a semiconductor substrate
Grant 9,698,015 - Nemani July 4, 2
2017-07-04
Additive Manufacturing With Laser And Gas Flow
App 20170182556 - Ramaswamy; Kartik ;   et al.
2017-06-29
Method And Apparatus For Post Exposure Processing Of Photoresist Wafers
App 20170154797 - Babayan; Viachslav ;   et al.
2017-06-01
3d Material Modification For Advanced Processing
App 20170154776 - GODET; Ludovic ;   et al.
2017-06-01
Gate electrode material residual removal process
Grant 9,640,385 - Citla , et al. May 2, 2
2017-05-02
Gapfill Film Modification For Advanced Cmp And Recess Flow
App 20170117157 - CHEN; Erica ;   et al.
2017-04-27
3D material modification for advanced processing
Grant 9,620,407 - Godet , et al. April 11, 2
2017-04-11
Methods Of Etching Films With Reduced Surface Roughness
App 20170096740 - Schmiege; Benjamin ;   et al.
2017-04-06
Silicide Phase Control By Confinement
App 20170092502 - MEBARKI; Bencherki ;   et al.
2017-03-30
Plasma Reactor For Processing A Workpiece With An Array Of Plasma Point Sources
App 20170092470 - Ramaswamy; Kartik ;   et al.
2017-03-30
Self-aligned Multiple Spacer Patterning Schemes For Advanced Nanometer Technology
App 20170092494 - ZHANG; Ying ;   et al.
2017-03-30
High Temperature Vapor Delivery System And Method
App 20170088949 - BABAYAN; Viachslav ;   et al.
2017-03-30
Air gap formation in interconnection structure by implantation process
Grant 9,595,467 - Xue , et al. March 14, 2
2017-03-14
Selective Atomic Layer Deposition Process Utilizing Patterned Self Assembled Monolayers For 3d Structure Semiconductor Applications
App 20170053797 - NEMANI; Srinivas D. ;   et al.
2017-02-23
Self-aligned multiple spacer patterning schemes for advanced nanometer technology
Grant 9,548,201 - Zhang , et al. January 17, 2
2017-01-17
Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
Grant 9,543,163 - Ling , et al. January 10, 2
2017-01-10
Methods of etching films with reduced surface roughness
Grant 9,540,736 - Schmiege , et al. January 10, 2
2017-01-10
Plasma uniformity control by arrays of unit cell plasmas
Grant 9,528,185 - Nam , et al. December 27, 2
2016-12-27
Gas Control In Process Chamber
App 20160369395 - LIANG; Qiwei ;   et al.
2016-12-22
Immersion Field Guided Exposure And Post-exposure Bake Process
App 20160357107 - BUCHBERGER, JR.; Douglas A. ;   et al.
2016-12-08
Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
Grant 9,515,166 - Nemani , et al. December 6, 2
2016-12-06
Methods for barrier layer removal
Grant 9,514,953 - Kao , et al. December 6, 2
2016-12-06
Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
Grant 9,508,561 - Naik , et al. November 29, 2
2016-11-29
Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
Grant 9,484,202 - Zhou , et al. November 1, 2
2016-11-01
Cyclic spacer etching process with improved profile control
Grant 9,478,433 - Zhou , et al. October 25, 2
2016-10-25
Single Platform, Multiple Cycle Spacer Deposition And Etch
App 20160307768 - CHEN; Hao ;   et al.
2016-10-20
Cyclic Spacer Etching Process With Improved Profile Control
App 20160293437 - ZHOU; Qingjun ;   et al.
2016-10-06
Cyclic Spacer Etching Process With Improved Profile Control
App 20160293438 - ZHOU; Qingjun ;   et al.
2016-10-06
Gate Electrode Material Residual Removal Process
App 20160240385 - Citla; Bhargav ;   et al.
2016-08-18
Selective Deposition Utilizing Masks And Directional Plasma Treatment
App 20160233100 - GODET; Ludovic ;   et al.
2016-08-11
Development of high etch selective hardmask material by ion implantation into amorphous carbon films
Grant 9,412,613 - Manna , et al. August 9, 2
2016-08-09
Single platform, multiple cycle spacer deposition and etch
Grant 9,406,522 - Chen , et al. August 2, 2
2016-08-02
Advanced Process Flow For High Quality Fcvd Films
App 20160194758 - NEMANI; Srinivas D. ;   et al.
2016-07-07
Air gap process
Grant 9,385,028 - Nemani , et al. July 5, 2
2016-07-05
Method and apparatus for selective deposition
Grant 9,385,219 - Yieh , et al. July 5, 2
2016-07-05
Method to reduce K value of dielectric layer for advanced FinFET formation
Grant 9,379,021 - Yieh , et al. June 28, 2
2016-06-28
Temperature ramping using gas distribution plate heat
Grant 9,368,370 - Belostotskiy , et al. June 14, 2
2016-06-14
Metal-containing films as dielectric capping barrier for advanced interconnects
Grant 9,368,448 - Chen , et al. June 14, 2
2016-06-14
3d Material Modification For Advanced Processing
App 20160163546 - GODET; Ludovic ;   et al.
2016-06-09
Method And Apparatus For Backside Cleaning Of Substrates
App 20160151808 - THIRUNAVUKARASU; SRISKANTHARAJAH ;   et al.
2016-06-02
Air Gap Formation In Interconnection Structure By Implantation Process
App 20160141202 - XUE; Jun ;   et al.
2016-05-19
Process Chamber for Field Guided Exposure and method for Implementing the process chamber
App 20160139503 - RAMASWAMY; Kartik ;   et al.
2016-05-19
Multi-cassette Carrying Case
App 20160133491 - THIRUNAVUKARASU; SRISKANTHARAJAH ;   et al.
2016-05-12
Substrate Transfer Chamber
App 20160133494 - THIRUNAVUKARASU; SRISKANTHARAJAH ;   et al.
2016-05-12
Methods And Apparatus For Transferring A Substrate
App 20160133490 - THIRUNAVUKARASU; SRISKANTHARAJAH ;   et al.
2016-05-12
Methods for etching silicon using hydrogen radicals in a hot wire chemical vapor deposition chamber
Grant 9,305,796 - Chatterjee , et al. April 5, 2
2016-04-05
Methods for etching a dielectric barrier layer in a dual damascene structure
Grant 9,299,577 - Ren , et al. March 29, 2
2016-03-29
Flowable Film Properties Tuning Using Implantation
App 20160079034 - Yieh; Ellie Y. ;   et al.
2016-03-17
Plasma Uniformity Control By Arrays Of Unit Cell Plasmas
App 20160053376 - NAM; Sang Ki ;   et al.
2016-02-25
Spacer formation
Grant 9,269,590 - Luere , et al. February 23, 2
2016-02-23
Ultra-thin structure to protect copper and method of preparation
Grant 9,257,330 - Chatterjee , et al. February 9, 2
2016-02-09
Methods Of Etching Films With Reduced Surface Roughness
App 20160032460 - Schmiege; Benjamin ;   et al.
2016-02-04
Single Platform, Multiple Cycle Spacer Deposition And Etch
App 20160027655 - Chen; Hao ;   et al.
2016-01-28
Localized Stress Modulation For Overlay And Epe
App 20160005662 - YIEH; Ellie Y. ;   et al.
2016-01-07
Method And Apparatus For Selective Deposition
App 20160005839 - YIEH; Ellie Y. ;   et al.
2016-01-07
Method Of Patterning A Low-k Dielectric Film
App 20150380215 - Nemani; Srinivas D. ;   et al.
2015-12-31
Methods For Forming Fin Structures With Desired Dimensions For 3d Structure Semiconductor Applications
App 20150380526 - GODET; Ludovic ;   et al.
2015-12-31
Self-aligned Multiple Spacer Patterning Schemes For Advanced Nanometer Technology
App 20150371852 - ZHANG; Ying ;   et al.
2015-12-24
Methods for silicon recess structures in a substrate by utilizing a doping layer
Grant 9,214,377 - Zhang , et al. December 15, 2
2015-12-15
Protective Via Cap For Improved Interconnect Performance
App 20150348902 - Naik; Mehul ;   et al.
2015-12-03
Halogen-free gas-phase silicon etch
Grant 9,190,290 - Xue , et al. November 17, 2
2015-11-17
Directional Treatment For Multi-dimensional Device Processing
App 20150325411 - GODET; Ludovic ;   et al.
2015-11-12
Method of patterning a low-k dielectric film
Grant 9,165,783 - Nemani , et al. October 20, 2
2015-10-20
Selective Atomic Layer Deposition Process Utilizing Patterned Self Assembled Monolayers For 3d Structure Semiconductor Applications
App 20150294863 - NEMANI; Srinivas D. ;   et al.
2015-10-15
Spacer Formation
App 20150287612 - Luere; Olivier ;   et al.
2015-10-08
Halogen-free Gas-phase Silicon Etch
App 20150279687 - Xue; Jun ;   et al.
2015-10-01
Methods For Forming Interconnection Structures In An Integrated Cluster System For Semicondcutor Applications
App 20150262869 - NAIK; Mehul B. ;   et al.
2015-09-17
Temperature Ramping Using Gas Distribution Plate Heat
App 20150262834 - BELOSTOTSKIY; Sergey G. ;   et al.
2015-09-17
Grazing Angle Plasma Processing For Modifying A Substrate Surface
App 20150255243 - GODET; Ludovic ;   et al.
2015-09-10
Air Gap Process
App 20150221541 - Nemani; Srinivas D. ;   et al.
2015-08-06
Method For Material Removal In Dry Etch Reactor
App 20150214066 - Luere; Olivier ;   et al.
2015-07-30
Methods For Etching A Dielectric Barrier Layer In A Dual Damascene Structure
App 20150214101 - REN; He ;   et al.
2015-07-30
Method of patterning a silicon nitride dielectric film
Grant 9,093,389 - Nemani , et al. July 28, 2
2015-07-28
Recessing Ultra-low K Dielectric Using Remote Plasma Source
App 20150200042 - Ling; Mang Mang ;   et al.
2015-07-16
Development Of High Etch Selective Hardmask Material By Ion Implantation Into Amorphous Carbon Films
App 20150194317 - MANNA; PRAMIT ;   et al.
2015-07-09
Metal-containing Films As Dielectric Capping Barrier For Advanced Interconnects
App 20150179581 - CHEN; Yihong ;   et al.
2015-06-25
Methods for etching materials used in MRAM applications
Grant 9,059,398 - Kim , et al. June 16, 2
2015-06-16
Ultra-thin Structure To Protect Copper And Method Of Preparation
App 20150147879 - Chatterjee; Amit ;   et al.
2015-05-28
Methods For Barrier Layer Removal
App 20150140827 - KAO; Chia-Ling ;   et al.
2015-05-21
Methods For Etching Silicon Using Hydrogen Radicals In A Hot Wire Chemical Vapor Deposition Chamber
App 20150126041 - CHATTERJEE; SUKTI ;   et al.
2015-05-07
Methods For Silicon Recess Structures In A Substrate By Utilizing A Doping Layer
App 20150118822 - ZHANG; Ying ;   et al.
2015-04-30
Method For Patterning A Semiconductor Substrate
App 20150108619 - NEMANI; Srinivas D.
2015-04-23
Method of removing a metal hardmask
Grant 9,006,106 - Kao , et al. April 14, 2
2015-04-14
Etching Oxide-nitride Stacks Using C4f6h2
App 20150097276 - Kim; Jong Mun ;   et al.
2015-04-09
Method To Reduce K Value Of Dielectric Layer For Advanced Finfet Formation
App 20150099360 - YIEH; Ellie Y. ;   et al.
2015-04-09
Method of patterning a low-k dielectric film
Grant 8,987,139 - Kao , et al. March 24, 2
2015-03-24
Method For Stabilizing An Interface Post Etch To Minimize Queue Time Issues Before Next Processing Step
App 20150079799 - NEMANI; Srinivas D. ;   et al.
2015-03-19
Methods For Etching An Etching Stop Layerutilizing A Cyclical Etching Process
App 20150079798 - LING; Mang-Mang ;   et al.
2015-03-19
Methods for etching an etching stop layer utilizing a cyclical etching process
Grant 8,980,758 - Ling , et al. March 17, 2
2015-03-17
Method of removing a photoresist from a low-k dielectric film
Grant 8,980,754 - Zhou , et al. March 17, 2
2015-03-17
Low Temperature Plasma Anneal Process For Sublimative Etch Processes
App 20150064921 - NEMANI; Srinivas D. ;   et al.
2015-03-05
Methods For Forming Features In A Material Layer Utilizing A Combination Of A Main Etching And A Cyclical Etching Process
App 20150056814 - LING; Mang-Mang ;   et al.
2015-02-26
Method of multiple patterning of a low-K dielectric film
Grant 8,940,642 - Nemani , et al. January 27, 2
2015-01-27
Method and system for etching plural layers on a workpiece including a lower layer containing an advanced memory material
Grant 8,932,959 - Nemani , et al. January 13, 2
2015-01-13
Directed Block Copolymer Self-assembly Patterns For Advanced Photolithography Applications
App 20140357083 - LING; Mang-Mang ;   et al.
2014-12-04
Delicate dry clean
Grant 8,895,449 - Zhu , et al. November 25, 2
2014-11-25
Delicate Dry Clean
App 20140342532 - Zhu; Lina ;   et al.
2014-11-20
Near Surface Etch Selectivity Enhancement
App 20140342569 - Zhu; Lina ;   et al.
2014-11-20
Post etch treatment (PET) of a low-K dielectric film
Grant 8,871,650 - Nemani , et al. October 28, 2
2014-10-28
Patterning Magnetic Memory
App 20140308758 - Nemani; Srinivas D. ;   et al.
2014-10-16
Method Of Removing A Metal Hardmask
App 20140273496 - Kao; Chia-Ling ;   et al.
2014-09-18
Multi-mode Etch Chamber Source Assembly
App 20140262031 - BELOSTOTSKIY; Sergey G. ;   et al.
2014-09-18
Methods For Improving Etching Resistance For An Amorphous Carbon Film
App 20140263173 - ROSSLEE; Craig ;   et al.
2014-09-18
Patterning Of Magnetic Tunnel Junction (mtj) Film Stacks
App 20140248718 - Kim; Jisoo ;   et al.
2014-09-04
Method of patterning a low-k dielectric film
Grant 8,802,572 - Nemani , et al. August 12, 2
2014-08-12
Method Of Patterning A Low-k Dielectric Film
App 20140213060 - Kao; Chia-Ling ;   et al.
2014-07-31
Method Of Patterning A Silicon Nitride Dielectric Film
App 20140199851 - Nemani; Srinivas D. ;   et al.
2014-07-17
Method And System For Etching Plural Layers On A Workpiece Including A Lower Layer Containing An Advanced Memory Material
App 20140170856 - Nemani; Srinivas D. ;   et al.
2014-06-19
Silicon oxide recess etch
Grant 8,748,322 - Fung , et al. June 10, 2
2014-06-10
Method of patterning a low-K dielectric film
Grant 8,741,775 - Nemani , et al. June 3, 2
2014-06-03
Method Of Patterning A Low-k Dielectric Film
App 20140120726 - Nemani; Srinivas D. ;   et al.
2014-05-01
Method of patterning a low-K dielectric film
Grant 8,647,990 - Zhou , et al. February 11, 2
2014-02-11
Methods For Etching Materials Used In Mram Applications
App 20140038311 - Kim; Jisoo ;   et al.
2014-02-06
Method Of Patterning A Low-k Dielectric Film
App 20140017898 - Nemani; Srinivas D. ;   et al.
2014-01-16
Method Of Etching High Aspect Ratio Features In A Dielectric Layer
App 20130122712 - KIM; Jong Mun ;   et al.
2013-05-16
Post Etch Treatment (pet) Of A Low-k Dielectric Film
App 20130109187 - Nemani; Srinivas D. ;   et al.
2013-05-02
Method Of Patterning A Low-k Dielectric Film
App 20130040464 - Zhou; Yifeng ;   et al.
2013-02-14
Method Of Multiple Patterning Of A Low-k Dielectric Film
App 20130023122 - Nemani; Srinivas D. ;   et al.
2013-01-24
Method of patterning a low-k dielectric film
Grant 8,314,033 - Zhou , et al. November 20, 2
2012-11-20
High quality silicon oxide films by remote plasma CVD from disilane precursors
Grant 8,242,031 - Mallick , et al. August 14, 2
2012-08-14
Dielectric deposition and etch back processes for bottom up gapfill
Grant 8,232,176 - Lubomirsky , et al. July 31, 2
2012-07-31
Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
Grant 8,153,348 - Nemani , et al. April 10, 2
2012-04-10
Dopant activation in doped semiconductor substrates
Grant 7,989,366 - Munro , et al. August 2, 2
2011-08-02
Methods for forming a silicon oxide layer over a substrate
Grant 7,943,531 - Nemani , et al. May 17, 2
2011-05-17
Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
Grant 7,902,080 - Chen , et al. March 8, 2
2011-03-08
High quality silicon oxide films by remote plasma CVD from disilane precursors
Grant 7,867,923 - Mallick , et al. January 11, 2
2011-01-11
Curing methods for silicon dioxide multi-layers
Grant 7,825,044 - Mallick , et al. November 2, 2
2010-11-02
Method for depositing and curing low-k films for gapfill and conformal film applications
Grant 7,790,634 - Munro , et al. September 7, 2
2010-09-07
Two-layer film for next generation damascene barrier application with good oxidation resistance
Grant 7,749,563 - Zheng , et al. July 6, 2
2010-07-06
Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO.sub.2
Grant 7,745,351 - Chen , et al. June 29, 2
2010-06-29
Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
Grant 7,745,352 - Mallick , et al. June 29, 2
2010-06-29
Method and system for improving dielectric film quality for void free gap fill
Grant 7,541,297 - Mallick , et al. June 2, 2
2009-06-02
Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
Grant 7,524,750 - Nemani , et al. April 28, 2
2009-04-28
Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II--remote plasma enhanced deposition processes
Grant 7,498,273 - Mallick , et al. March 3, 2
2009-03-03
Apparatus for abatement of by-products generated from deposition processes and cleaning of deposition chambers
Grant 7,494,628 - Pokharna , et al. February 24, 2
2009-02-24
Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO.sub.2
Grant 7,465,680 - Chen , et al. December 16, 2
2008-12-16
Method for forming ultra low k films using electron beam
Grant 7,422,774 - Zheng , et al. September 9, 2
2008-09-09
Use of germanium dioxide and/or alloys of GeO2 with silicon dioxide for semiconductor dielectric applications
Grant 7,189,639 - Krishnaraj , et al. March 13, 2
2007-03-13
Method of depositing dielectric materials including oxygen-doped silicon carbide in damascene applications
Grant 7,151,053 - Lee , et al. December 19, 2
2006-12-19
Method of depositing dielectric films
Grant 7,117,064 - Nemani , et al. October 3, 2
2006-10-03
Silicon oxide gapfill deposition using liquid precursors
Grant 7,087,536 - Nemani , et al. August 8, 2
2006-08-08
Method for forming ultra low k films using electron beam
Grant 7,060,330 - Zheng , et al. June 13, 2
2006-06-13
Process and apparatus for abatement of by products generated from deposition processes and cleaning of deposition chambers
Grant 7,060,234 - Pokharna , et al. June 13, 2
2006-06-13
Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
Grant 7,056,560 - Yim , et al. June 6, 2
2006-06-06
Method of depositing dielectric films
Grant 7,001,850 - Nemani , et al. February 21, 2
2006-02-21
Method for cleaning a process chamber
Grant 6,902,629 - Zheng , et al. June 7, 2
2005-06-07
Method for depositing a low dielectric constant film
Grant 6,897,163 - Gaillard , et al. May 24, 2
2005-05-24
Method of depositing dielectric materials in damascene applications
Grant 6,890,850 - Lee , et al. May 10, 2
2005-05-10
Side wall passivation films for damascene cu/low k electronic devices
Grant 6,878,620 - Nguyen , et al. April 12, 2
2005-04-12
Use of cyclic siloxanes for hardness improvement of low k dielectric films
Grant 6,815,373 - Singh , et al. November 9, 2
2004-11-09
Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
Grant 6,797,643 - Rocha-Alvarez , et al. September 28, 2
2004-09-28
Method of depositing dielectric films
Grant 6,764,958 - Nemani , et al. July 20, 2
2004-07-20
Method of depositing organosillicate layers
Grant 6,531,398 - Gaillard , et al. March 11, 2
2003-03-11

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed