loadpatents
name:-0.045728206634521
name:-0.037085056304932
name:-0.01094388961792
Nemani; Srinivas Patent Filings

Nemani; Srinivas

Patent Applications and Registrations

Patent applications and USPTO patent grants for Nemani; Srinivas.The latest application filed is for "process chamber for etching low k and other dielectric films".

Company Profile
9.32.40
  • Nemani; Srinivas - Sunnyvale CA
  • Nemani; Srinivas - Santa Clara CA
  • Nemani; Srinivas - San Jose CA
  • Nemani; Srinivas - Milpitas CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Process chamber for etching low k and other dielectric films
Grant 11,410,860 - Lubomirsky , et al. August 9, 2
2022-08-09
Gas abatement apparatus
Grant 11,110,383 - Khan , et al. September 7, 2
2021-09-07
Process Chamber For Etching Low K And Other Dielectric Films
App 20210134618 - Lubomirsky; Dmitry ;   et al.
2021-05-06
Residual removal
Grant 10,964,527 - Kim , et al. March 30, 2
2021-03-30
Method and system for three-dimensional (3D) structure fill
Grant 10,943,779 - Yieh , et al. March 9, 2
2021-03-09
Process chamber for etching low K and other dielectric films
Grant 10,923,367 - Lubomirsky , et al. February 16, 2
2021-02-16
Gas Abatement Apparatus
App 20200368666 - KHAN; Adib ;   et al.
2020-11-26
Selective oxidation for 3D device isolation
Grant 10,790,183 - Sun , et al. September 29, 2
2020-09-29
Gas abatement apparatus
Grant 10,675,581 - Khan , et al.
2020-06-09
Gas Abatement Apparatus
App 20200038797 - KHAN; Adib ;   et al.
2020-02-06
Method and apparatus for backside cleaning of substrates
Grant 10,549,324 - Thirunavukarasu , et al. Fe
2020-02-04
Residual Removal
App 20190393024 - KIM; Jong Mun ;   et al.
2019-12-26
Selective Oxidation For 3d Device Isolation
App 20190371650 - SUN; Shiyu ;   et al.
2019-12-05
Selective deposition of metal silicides
Grant 10,475,655 - Hung , et al. Nov
2019-11-12
Process Chamber For Etching Low K And Other Dielectric Films
App 20180358244 - LUBOMIRSKY; Dmitry ;   et al.
2018-12-13
Selective Deposition Of Metal Silicides
App 20180342395 - HUNG; Raymond ;   et al.
2018-11-29
Process chamber for etching low K and other dielectric films
Grant 10,096,496 - Lubomirsky , et al. October 9, 2
2018-10-09
Pulsed plasma for film deposition
Grant 10,096,466 - Xue , et al. October 9, 2
2018-10-09
Method And Apparatus For Backside Cleaning Of Substrates
App 20180257116 - THIRUNAVUKARASU; SRISKANTHARAJAH ;   et al.
2018-09-13
Method of etching a porous dielectric material
Grant 10,062,602 - Posseme , et al. August 28, 2
2018-08-28
Selective Etch Using Material Modification And Rf Pulsing
App 20180082861 - Citla; Bhargav ;   et al.
2018-03-22
Low-temperature Atomic Layer Deposition Of Boron Nitride And Bn Structures
App 20180040476 - WOLF; Steven ;   et al.
2018-02-08
Selective etch using material modification and RF pulsing
Grant 9,865,484 - Citla , et al. January 9, 2
2018-01-09
Selective Etch Using Material Modification And Rf Pulsing
App 20180005850 - Citla; Bhargav ;   et al.
2018-01-04
Process Chamber For Etching Low K And Other Dielectric Films
App 20170229325 - LUBOMIRSKY; Dmitry ;   et al.
2017-08-10
Process chamber for etching low k and other dielectric films
Grant 9,666,414 - Lubomirsky , et al. May 30, 2
2017-05-30
Method And System For Three-dimensional (3d) Structure Fill
App 20170069488 - Yieh; Ellie ;   et al.
2017-03-09
Method for forming spacers for a transistor gate
Grant 9,583,339 - Posseme , et al. February 28, 2
2017-02-28
Method and system for three-dimensional (3D) structure fill
Grant 9,530,674 - Yieh , et al. December 27, 2
2016-12-27
Method For Forming Spacers For A Transistor Gate
App 20160300709 - POSSEME; Nicolas ;   et al.
2016-10-13
Pulsed Plasma For Film Deposition
App 20160276150 - Xue; Jun ;   et al.
2016-09-22
Semiconductor System Assemblies And Methods Of Operation
App 20160217981 - Nguyen; Andrew ;   et al.
2016-07-28
Semiconductor system assemblies and methods of operation
Grant 9,287,095 - Nguyen , et al. March 15, 2
2016-03-15
Technique for forming a FinFET device using selective ion implantation
Grant 9,190,498 - Brand , et al. November 17, 2
2015-11-17
Semiconductor System Assemblies And Methods Of Operation
App 20150170879 - Nguyen; Andrew ;   et al.
2015-06-18
Semiconductor System Assemblies And Methods Of Operation
App 20150170924 - Nguyen; Andrew ;   et al.
2015-06-18
Semiconductor System Assemblies And Methods Of Operation
App 20150170943 - Nguyen; Andrew ;   et al.
2015-06-18
Pattern Formation And Transfer Directly On Silicon Based Films
App 20150132959 - TEDESCHI; Leonard ;   et al.
2015-05-14
Method And System For Three-dimensional (3d) Structure Fill
App 20150093907 - YIEH; Ellie ;   et al.
2015-04-02
Method Of Depositing Thin Metal-organic Films
App 20150079301 - NEMANI; Srinivas ;   et al.
2015-03-19
Three-dimensional (3d) Processing And Printing With Plasma Sources
App 20150042017 - RAMASWAMY; Kartik ;   et al.
2015-02-12
Method For Forming Spacers For A Transitor Gate
App 20140187046 - POSSEME; Nicolas ;   et al.
2014-07-03
Method Of Etching A Porous Dielectric Material
App 20140187035 - POSSEME; Nicolas ;   et al.
2014-07-03
Technique For Forming A FinFET Device
App 20140080276 - Brand; Adam ;   et al.
2014-03-20
Process Chamber For Etching Low K And Other Dielectric Films
App 20130105303 - LUBOMIRSKY; Dmitry ;   et al.
2013-05-02
Dielectric Gap Fill With Oxide Selectively Deposited Over Silicon Liner
App 20070087522 - Nemani; Srinivas ;   et al.
2007-04-19
Method of depositing low dielectric constant silicon carbide layers
Grant 7,200,460 - Campana , et al. April 3, 2
2007-04-03
Dielectric gap fill with oxide selectively deposited over silicon liner
Grant 7,176,105 - Nemani , et al. February 13, 2
2007-02-13
Dielectric gap fill with oxide selectively deposited over silicon liner
App 20050266655 - Nemani, Srinivas ;   et al.
2005-12-01
Method of depositing low dielectric constant silicon carbide layers
Grant 6,855,484 - Campana , et al. February 15, 2
2005-02-15
Method of depositing low dielectric constant silicon carbide layers
App 20030148223 - Campana, Francimar ;   et al.
2003-08-07
Method of depositing low dielectric constant silicon carbide layers
App 20030148020 - Campana, Francimar ;   et al.
2003-08-07
Method of depositing low dielectric constant silicon carbide layers
Grant 6,537,733 - Campana , et al. March 25, 2
2003-03-25
Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
App 20030008069 - Nemani, Srinivas ;   et al.
2003-01-09
Deposition of silicon oxide films
App 20020155730 - Mukai, Kevin ;   et al.
2002-10-24
Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
Grant 6,465,366 - Nemani , et al. October 15, 2
2002-10-15
Plasma treatment of organosilicate layers
App 20020142104 - Nemani, Srinivas ;   et al.
2002-10-03
Method of depositing low dielectric constant silicon carbide layers
App 20020119250 - Campana, Francimar ;   et al.
2002-08-29
Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
Grant 6,413,583 - Moghadam , et al. July 2, 2
2002-07-02
Methods and apparatus for gettering fluorine from chamber material surfaces
Grant 6,347,636 - Xia , et al. February 19, 2
2002-02-19
Methods and apparatus for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
Grant 6,348,099 - Xia , et al. February 19, 2
2002-02-19
Methods for shallow trench isolation
Grant 6,114,216 - Yieh , et al. September 5, 2
2000-09-05
Throttle valve providing enhanced cleaning
Grant 6,090,206 - Bang , et al. July 18, 2
2000-07-18
Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
Grant 5,963,840 - Xia , et al. October 5, 1
1999-10-05
Method and apparatus for gettering fluorine from chamber material surfaces
Grant 5,935,340 - Xia , et al. August 10, 1
1999-08-10
Methods and apparatus for cleaning surfaces in a substrate processing system
Grant 5,812,403 - Fong , et al. September 22, 1
1998-09-22

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed