loadpatents
name:-0.1098198890686
name:-0.11895513534546
name:-0.17212605476379
Manna; Pramit Patent Filings

Manna; Pramit

Patent Applications and Registrations

Patent applications and USPTO patent grants for Manna; Pramit.The latest application filed is for "multicolor approach to dram sti active cut patterning".

Company Profile
69.57.94
  • Manna; Pramit - Sunnyvale CA
  • Manna; Pramit - Santa Clara CA
  • Manna; Pramit - Milpitas CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Low temperature high-quality dielectric films
Grant 11,430,655 - Venkatasubramanian , et al. August 30, 2
2022-08-30
Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition
Grant 11,421,324 - Hsu , et al. August 23, 2
2022-08-23
Multicolor Approach To DRAM STI Active Cut Patterning
App 20220238531 - Singh; Tejinder ;   et al.
2022-07-28
Multicolor approach to DRAM STI active cut patterning
Grant 11,335,690 - Singh , et al. May 17, 2
2022-05-17
Diamond-like carbon film
Grant 11,332,376 - Venkatasubramanian , et al. May 17, 2
2022-05-17
Methods Of Forming Hardmasks
App 20220122835 - HSU; Jui-Yuan ;   et al.
2022-04-21
Hardmasks And Processes For Forming Hardmasks By Plasma Enhanced Chemical Vapor Deposition
App 20220119953 - HSU; Jui-Yuan ;   et al.
2022-04-21
Method Of Using Dual Frequency Rf Power In A Process Chamber
App 20220102141 - SINGH; Anup Kumar ;   et al.
2022-03-31
Modulating film properties by optimizing plasma coupling materials
Grant 11,270,905 - Venkatasubramanian , et al. March 8, 2
2022-03-08
In-situ High Power Implant To Relieve Stress Of A Thin Film
App 20220037154 - VENKATASUBRAMANIAN; Eswaranand ;   et al.
2022-02-03
Bottom-up growth of silicon oxide and silicon nitride using sequential deposition-etch-treat processing
Grant 11,236,418 - Cheng , et al. February 1, 2
2022-02-01
Film deposition using enhanced diffusion process
Grant 11,227,797 - Jiang , et al. January 18, 2
2022-01-18
Methods For Producing High-density, Nitrogen-doped Carbon Films For Hardmasks And Other Patterning Applications
App 20210407791 - HSU; Jui-Yuan ;   et al.
2021-12-30
Methods For Producing High-density Carbon Films For Hardmasks And Other Patterning Applications
App 20210407802 - HSU; Jui-Yuan ;   et al.
2021-12-30
High Pressure Oxidation of Metal Films
App 20210404046 - Mullick; Amrita B. ;   et al.
2021-12-30
Methods For Producing High-density Doped-carbon Films For Hardmask And Other Patterning Applications
App 20210407801 - VENKATASUBRAMANIAN; Eswaranand ;   et al.
2021-12-30
Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
Grant 11,177,128 - Manna , et al. November 16, 2
2021-11-16
In-situ high power implant to relieve stress of a thin film
Grant 11,158,507 - Venkatasubramanian , et al. October 26, 2
2021-10-26
High Bias Deposition of High Quality Gapfill
App 20210327752 - Gottheim; Samuel E. ;   et al.
2021-10-21
High pressure oxidation of metal films
Grant 11,131,015 - Mullick , et al. September 28, 2
2021-09-28
High bias deposition of high quality gapfill
Grant 11,062,939 - Gottheim , et al. July 13, 2
2021-07-13
Conformal Hermetic Film Deposition By Cvd
App 20210210339 - MANNA; Pramit ;   et al.
2021-07-08
High-density low temperature carbon films for hardmask and other patterning applications
Grant 11,043,372 - Venkatasubramanian , et al. June 22, 2
2021-06-22
Conformal carbon film deposition
Grant 11,043,379 - Manna , et al. June 22, 2
2021-06-22
Bottom-up gap-fill by surface poisoning treatment
Grant 11,028,477 - Saly , et al. June 8, 2
2021-06-08
Gapfill using reactive anneal
Grant 11,011,384 - Mallick , et al. May 18, 2
2021-05-18
Multicolor Approach To DRAM STI Active Cut Patterning
App 20210134807 - Singh; Tejinder ;   et al.
2021-05-06
3D NAND Etch
App 20210118691 - Jiang; Shishi ;   et al.
2021-04-22
Diamond-like carbon as mandrel
Grant 10,954,129 - Koshizawa , et al. March 23, 2
2021-03-23
Selective and self-limiting tungsten etch process
Grant 10,950,498 - Roy , et al. March 16, 2
2021-03-16
Tuneable Uniformity Control Utilizing Rotational Magnetic Housing
App 20210050189 - GOTTHEIM; Samuel E. ;   et al.
2021-02-18
Carbon Hard Masks For Patterning Applications And Methods Related Thereto
App 20210043449 - VENKATASUBRAMANIAN; Eswaranand ;   et al.
2021-02-11
Pulsed Plasma (dc/rf) Deposition Of High Quality C Films For Patterning
App 20210040618 - VENKATASUBRAMANIAN; Eswaranand ;   et al.
2021-02-11
Low Temperature High-Quality Dielectric Films
App 20210043450 - Venkatasubramanian; Eswaranand ;   et al.
2021-02-11
Multicolor approach to DRAM STI active cut patterning
Grant 10,910,381 - Singh , et al. February 2, 2
2021-02-02
Flowable Film Curing Using H2 Plasma
App 20210025058 - JIANG; Shishi ;   et al.
2021-01-28
Methods For Gapfill In High Aspect Ratio Structures
App 20210028055 - Manna; Pramit ;   et al.
2021-01-28
Methods of Forming Tungsten Pillars
App 20210013038 - Mallick; Abhijit Basu ;   et al.
2021-01-14
Modulating Film Properties By Optimizing Plasma Coupling Materials
App 20210005500 - VENKATASUBRAMANIAN; Eswaranand ;   et al.
2021-01-07
3D NAND etch
Grant 10,886,140 - Jiang , et al. January 5, 2
2021-01-05
Apparatus And Methods For Manufacturing Semiconductor Structures Using Protective Barrier Layer
App 20200388486 - MANNA; Pramit ;   et al.
2020-12-10
Low Deposition Rates For Flowable Pecvd
App 20200385865 - Jiang; Shishi ;   et al.
2020-12-10
Substrate Processing Chamber
App 20200370177 - FRANKLIN; Timothy Joseph ;   et al.
2020-11-26
Low temperature high-quality dielectric films
Grant 10,840,088 - Venkatasubramanian , et al. November 17, 2
2020-11-17
Controlled growth of thin silicon oxide film at low temperature
Grant 10,818,490 - Jiang , et al. October 27, 2
2020-10-27
Diamond-Like Carbon Film
App 20200331762 - Venkatasubramanian; Eswaranand ;   et al.
2020-10-22
Methods for gapfill in high aspect ratio structures
Grant 10,811,303 - Manna , et al. October 20, 2
2020-10-20
Methods of forming tungsten pillars
Grant 10,784,107 - Mallick , et al. Sept
2020-09-22
Diamond-like carbon film
Grant 10,745,282 - Venkatasubramanian , et al. A
2020-08-18
Oxidative volumetric expansion of metals and metal containing compounds
Grant 10,741,435 - Roy , et al. A
2020-08-11
Bottom-Up Growth of Silicon Oxide and Silicon Nitride Using Sequential Deposition-Etch-Treat Processing
App 20200248303 - Kind Code
2020-08-06
Selectively deposited parylene masks and methods related thereto
Grant 10,714,339 - Wang , et al.
2020-07-14
Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
Grant 10,699,903 - Manna , et al.
2020-06-30
Film Deposition Using Enhanced Diffusion Process
App 20200161178 - JIANG; Shishi ;   et al.
2020-05-21
Magnetic Housing Systems
App 20200144029 - GANDIKOTA; Srinivas ;   et al.
2020-05-07
Surface modification to improve amorphous silicon gapfill
Grant 10,643,841 - Manna , et al.
2020-05-05
High Density Carbon Films For Patterning Applications
App 20200135466 - VENKATASUBRAMANIAN; Eswaranand ;   et al.
2020-04-30
Seam healing using high pressure anneal
Grant 10,636,669 - Chen , et al.
2020-04-28
Bottom-up growth of silicon oxide and silicon nitride using sequential deposition-etch-treat processing
Grant 10,626,495 - Cheng , et al.
2020-04-21
Conditioning Of A Processing Chamber
App 20200115795 - Manna; Pramit ;   et al.
2020-04-16
Methods for gapfill in high aspect ratio structures
Grant 10,615,050 - Cheng , et al.
2020-04-07
PECVD Tungsten Containing Hardmask Films And Methods Of Making
App 20200075333 - Roy; Susmit Singha ;   et al.
2020-03-05
Surface Modification To Improve Amorphous Silicon Gapfill
App 20200075329 - MANNA; Pramit ;   et al.
2020-03-05
Two-step process for silicon gapfill
Grant 10,580,642 - Mallick , et al.
2020-03-03
Two-step Process For Gapfilling High Aspect Ratio Trenches With Amorphous Silicon Film
App 20200051815 - MANNA; Pramit ;   et al.
2020-02-13
Multicolor Approach To DRAM STI Active Cut Patterning
App 20200043932 - Singh; Tejinder ;   et al.
2020-02-06
3D NAND Etch
App 20200035505 - Jiang; Shishi ;   et al.
2020-01-30
Selective and Self-Limiting Tungsten Etch Process
App 20200027785 - Roy; Susmit Singha ;   et al.
2020-01-23
Low Temperature High-Quality Dielectric Films
App 20200027726 - Venkatasubramanian; Eswaranand ;   et al.
2020-01-23
PECVD tungsten containing hardmask films and methods of making
Grant 10,529,568 - Roy , et al. J
2020-01-07
Dry stripping of boron carbide hardmask
Grant 10,529,585 - Manna , et al. J
2020-01-07
In-situ High Power Implant To Relieve Stress Of A Thin Film
App 20190393034 - VENKATASUBRAMANIAN; Eswaranand ;   et al.
2019-12-26
Carbon Gapfill Films
App 20190393030 - Jiang; Shishi ;   et al.
2019-12-26
Conformal Carbon Film Deposition
App 20190385845 - Manna; Pramit ;   et al.
2019-12-19
High Bias Deposition of High Quality Gapfill
App 20190385907 - Gottheim; Samuel E. ;   et al.
2019-12-19
Surface modification to improve amorphous silicon gapfill
Grant 10,483,102 - Manna , et al. Nov
2019-11-19
Low Temperature Atomic Layer Deposition Of Silicon Nitride
App 20190330736 - Wang; Huiyuan ;   et al.
2019-10-31
Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
Grant 10,460,933 - Manna , et al. Oc
2019-10-29
Oxidative Volumetric Expansion Of Metals And Metal Containing Compounds
App 20190259652 - Roy; Susmit Singha ;   et al.
2019-08-22
Deployment of light energy within specific spectral bands in specific sequences for deposition, treatment and removal of materials
Grant 10,373,823 - Srinivasan , et al.
2019-08-06
Carbon Film Gapfill For Patterning Application
App 20190237325 - WANG; Fei ;   et al.
2019-08-01
Seam Healing Using High Pressure Anneal
App 20190228982 - Chen; Yihong ;   et al.
2019-07-25
Selectively Deposited Parylene Masks And Methods Related Thereto
App 20190221422 - WANG; Fei ;   et al.
2019-07-18
Titanium compound based hard mask films
Grant 10,347,488 - Cheng , et al. July 9, 2
2019-07-09
High Pressure Oxidation Of Metal Films
App 20190185983 - Mullick; Amrita B. ;   et al.
2019-06-20
Controlled Growth Of Thin Silicon Oxide Film At Low Temperature
App 20190189435 - JIANG; Shishi ;   et al.
2019-06-20
Oxidative volumetric expansion of metals and metal containing compounds
Grant 10,319,624 - Roy , et al.
2019-06-11
Methods For Gapfill In High Aspect Ratio Structures
App 20190172723 - Cheng; Rui ;   et al.
2019-06-06
Hardmask layer for 3D NAND staircase structure in semiconductor applications
Grant 10,312,137 - Venkatasubramanian , et al.
2019-06-04
Methods For Gapfill In High Aspect Ratio Structures
App 20190157134 - Manna; Pramit ;   et al.
2019-05-23
Flowable gapfill using solvents
Grant 10,280,507 - Arnepalli , et al.
2019-05-07
Process Of Filling The High Aspect Ratio Trenches By Co-flowing Ligands During Thermal Cvd
App 20190074218 - MANNA; Pramit ;   et al.
2019-03-07
Methods for gapfill in high aspect ratio structures
Grant 10,192,775 - Manna , et al. Ja
2019-01-29
Selective deposition of silicon oxide films
Grant 10,176,980 - Manna , et al. J
2019-01-08
Method and Apparatus for Void Free SiN Gapfill
App 20180363133 - Arnepalli; Ranga Rao ;   et al.
2018-12-20
Diamond-Like Carbon As Mandrel
App 20180358229 - Koshizawa; Takehito ;   et al.
2018-12-13
High-density Low Temperature Carbon Films For Hardmask And Other Patterning Applications
App 20180358222 - VENKATASUBRAMANIAN; Eswaranand ;   et al.
2018-12-13
Diamond-Like Carbon Film
App 20180354804 - Venkatasubramanian; Eswaranand ;   et al.
2018-12-13
Quality Improvement Of Films Deposited On A Substrate
App 20180350563 - MANNA; Pramit ;   et al.
2018-12-06
Bottom-Up Growth Of Silicon Oxide And Silicon Nitride Using Sequential Deposition-Etch-Treat Processing
App 20180350668 - Cheng; Rui ;   et al.
2018-12-06
Dry Stripping Of Boron Carbide Hardmask
App 20180350621 - MANNA; Pramit ;   et al.
2018-12-06
Deployment Of Light Energy Within Specific Spectral Bands In Specific Sequences For Deposition, Treatment And Removal Of Materials
App 20180350595 - SRINIVASAN; Swaminathan T. ;   et al.
2018-12-06
Process of filling the high aspect ratio trenches by co-flowing ligands during thermal CVD
Grant 10,128,150 - Manna , et al. November 13, 2
2018-11-13
Methods of Forming Tungsten Pillars
App 20180323068 - Mallick; Abhijit Basu ;   et al.
2018-11-08
Flowable Gapfill Using Solvents
App 20180298492 - Arnepalli; Ranga Rao ;   et al.
2018-10-18
Gapfill Using Reactive Anneal
App 20180294166 - Mallick; Abhijit Basu ;   et al.
2018-10-11
Surface Modification To Improve Amorphous Silicon Gapfill
App 20180294154 - MANNA; Pramit ;   et al.
2018-10-11
Two-Step Process for Silicon Gapfill
App 20180286669 - Mallick; Abhijit Basu ;   et al.
2018-10-04
Two-step Process For Gapfilling High Aspect Ratio Trenches With Amorphous Silicon Film
App 20180286674 - MANNA; Pramit ;   et al.
2018-10-04
Ultra-conformal carbon film deposition
Grant 10,074,534 - Behera , et al. September 11, 2
2018-09-11
Flowable gapfill using solvents
Grant 10,017,856 - Arnepalli , et al. July 10, 2
2018-07-10
Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
Grant 10,014,174 - Mebarki , et al. July 3, 2
2018-07-03
Methods For Depositing Flowable Silicon Containing Films Using Hot Wire Chemical Vapor Deposition
App 20180148833 - CHATTERJEE; Sukti ;   et al.
2018-05-31
Methods For Depositing Flowable Carbon Films Using Hot Wire Chemical Vapor Deposition
App 20180148832 - CHATTERJEE; Sukti ;   et al.
2018-05-31
Deposition Of Flowable Silicon-Containing Films
App 20180025907 - Kalutarage; Lakmal C. ;   et al.
2018-01-25
Plasma treatment to improve adhesion between hardmask film and silicon oxide film
Grant 9,865,459 - Cheng , et al. January 9, 2
2018-01-09
Flowable Amorphous Silicon Films For Gapfill Applications
App 20170372919 - Manna; Pramit ;   et al.
2017-12-28
Hardmask Layer For 3d Nand Staircase Structure In Semiconductor Applications
App 20170352586 - VENKATASUBRAMANIAN; Eswaranand ;   et al.
2017-12-07
Ultra-conformal Carbon Film Deposition
App 20170301537 - BEHERA; Swayambhu P. ;   et al.
2017-10-19
Conformal Strippable Carbon Film For Line-edge-roughness Reduction For Advanced Patterning
App 20170278709 - MEBARKI; Bencherki ;   et al.
2017-09-28
Methods For Gapfill In High Aspect Ratio Structures
App 20170271196 - Manna; Pramit ;   et al.
2017-09-21
Ultra-conformal carbon film deposition
Grant 9,721,784 - Behera , et al. August 1, 2
2017-08-01
PECVD Tungsten Containing Hardmask Films And Methods Of Making
App 20170207087 - Roy; Susmit Singha ;   et al.
2017-07-20
Method And Apparatus For Clamping And Declamping Substrates Using Electrostatic Chucks
App 20170162417 - YE; Zheng John ;   et al.
2017-06-08
Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
Grant 9,659,771 - Mebarki , et al. May 23, 2
2017-05-23
Conformal doping in 3D si structure using conformal dopant deposition
Grant 9,640,400 - Cheng , et al. May 2, 2
2017-05-02
Deposition Of Conformal And Gap-Fill Amorphous Silicon Thin-Films
App 20170114453 - Chen; Yihong ;   et al.
2017-04-27
Bottom-Up Gap-Fill by Surface Poisoning Treatment
App 20170114459 - Saly; Mark ;   et al.
2017-04-27
Conformal Doping In 3d Si Structure Using Conformal Dopant Deposition
App 20170110321 - CHENG; Rui ;   et al.
2017-04-20
Deposition of metal doped amorphous carbon film
Grant 9,624,577 - Manna , et al. April 18, 2
2017-04-18
Titanium-Compound Based Hard Mask Films
App 20170084459 - Cheng; Rui ;   et al.
2017-03-23
Low temperature cure modulus enhancement
Grant 9,583,332 - Manna , et al. February 28, 2
2017-02-28
Selective Deposition Of Silicon Oxide Films
App 20170004974 - MANNA; Pramit ;   et al.
2017-01-05
Conformal Strippable Carbon Film For Line-edge-roughness Reduction For Advanced Patterning
App 20160365248 - MEBARKI; Bencherki ;   et al.
2016-12-15
Plasma Treatment To Improve Adhesion Between Hardmask Film And Silicon Oxide Film
App 20160314960 - CHENG; Rui ;   et al.
2016-10-27
Process Of Filling The High Aspect Ratio Trenches By Co-flowing Ligands During Thermal Cvd
App 20160293483 - MANNA; Pramit ;   et al.
2016-10-06
Development of high etch selective hardmask material by ion implantation into amorphous carbon films
Grant 9,412,613 - Manna , et al. August 9, 2
2016-08-09
Deposition of heteroatom-doped carbon films
Grant 9,406,509 - Manna , et al. August 2, 2
2016-08-02
Method for critical dimension reduction using conformal carbon films
Grant 9,337,051 - Mebarki , et al. May 10, 2
2016-05-10
Method For Critical Dimension Reduction Using Conformal Carbon Films
App 20160049305 - MEBARKI; Bencherki ;   et al.
2016-02-18
Ultra-thin structure to protect copper and method of preparation
Grant 9,257,330 - Chatterjee , et al. February 9, 2
2016-02-09
Deposition Of Metal Doped Amorphous Carbon Film
App 20160027614 - MANNA; Pramit ;   et al.
2016-01-28
Ultra-conformal Carbon Film Deposition Layer-by-layer Deposition Of Carbon-doped Oxide Films
App 20160005596 - BEHERA; Swayambhu P. ;   et al.
2016-01-07
Cleaning Process For Cleaning Amorphous Carbon Deposition Residuals Using Low Rf Bias Frequency Applications
App 20150228463 - MANNA; Pramit ;   et al.
2015-08-13
Low Temperature Cure Modulus Enhancement
App 20150214039 - MANNA; Pramit ;   et al.
2015-07-30
Deposition Of Heteroatom-doped Carbon Films
App 20150206739 - MANNA; Pramit ;   et al.
2015-07-23
Carbon Dioxide And Carbon Monoxide Mediated Curing Of Low K Films To Increase Hardness And Modulus
App 20150196933 - MANNA; Pramit ;   et al.
2015-07-16
Development Of High Etch Selective Hardmask Material By Ion Implantation Into Amorphous Carbon Films
App 20150194317 - MANNA; PRAMIT ;   et al.
2015-07-09
Enabling Radical-based Deposition Of Dielectric Films
App 20150167160 - CHEN; Yihong ;   et al.
2015-06-18
Ultra-thin Structure To Protect Copper And Method Of Preparation
App 20150147879 - Chatterjee; Amit ;   et al.
2015-05-28
Conformal Sacrificial Film By Low Temperature Chemical Vapor Deposition Technique
App 20140162194 - XU; Jingjing ;   et al.
2014-06-12

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed