loadpatents
name:-0.19521403312683
name:-0.087834119796753
name:-0.0021259784698486
M'Saad; Hichem Patent Filings

M'Saad; Hichem

Patent Applications and Registrations

Patent applications and USPTO patent grants for M'Saad; Hichem.The latest application filed is for "wafer temperature gradient control to suppress slip formation in high-temperature epitaxial film growth".

Company Profile
1.79.120
  • M'Saad; Hichem - Paradise Valley AZ
  • M'Saad; Hichem - Santa Clara CA
  • M'Saad; Hichem - Phoenix AZ
  • M'SAAD; Hichem - Tunis TN US
  • M'Saad; Hichem - Sunnyvale CA
  • M'Saad; Hichem - Grenoble FR
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Wafer Temperature Gradient Control To Suppress Slip Formation In High-temperature Epitaxial Film Growth
App 20220298672 - M'Saad; Hichem ;   et al.
2022-09-22
Reactor System Including A Gas Distribution Assembly For Use With Activated Species And Method Of Using Same
App 20210102292 - Lin; Xing ;   et al.
2021-04-08
Tunable ground planes in plasma chambers
Grant 10,774,423 - Janakiraman , et al. September 15, 2
2020-09-15
Method and system for supplying a cleaning gas into a process chamber
Grant 10,094,486 - Sankarakrishnan , et al. October 9, 2
2018-10-09
Tunable Ground Planes In Plasma Chambers
App 20180073142 - Janakiraman; Karthik ;   et al.
2018-03-15
Tunable Ground Planes In Plasma Chambers
App 20160145742 - Janakiraman; Karthik ;   et al.
2016-05-26
Apparatus and method for substrate clamping in a plasma chamber
Grant 9,337,072 - Balasubramanian , et al. May 10, 2
2016-05-10
Method And System For Supplying A Cleaning Gas Into A Process Chamber
App 20160084400 - SANKARAKRISHNAN; Ramprakash ;   et al.
2016-03-24
Method and system for supplying a cleaning gas into a process chamber
Grant 9,206,511 - Sankarakrishnan , et al. December 8, 2
2015-12-08
Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
Grant 8,753,989 - Balseanu , et al. June 17, 2
2014-06-17
Method And System For Supplying A Cleaning Gas Into A Process Chamber
App 20140076236 - SANKARAKRISHNAN; Ramprakash ;   et al.
2014-03-20
Method and system for supplying a cleaning gas into a process chamber
Grant 8,591,699 - Sankarakrishnan , et al. November 26, 2
2013-11-26
Method And System For Supplying A Cleaning Gas Into A Process Chamber
App 20130213574 - Sankarakrishnan; Ramprakash ;   et al.
2013-08-22
Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
Grant 8,445,075 - Xu , et al. May 21, 2
2013-05-21
Air gap integration scheme
Grant 8,389,376 - Demos , et al. March 5, 2
2013-03-05
Fluid filtration for substrate processing chamber
Grant 8,382,885 - Ho , et al. February 26, 2
2013-02-26
Methods to improve the in-film defectivity of PECVD amorphous carbon films
Grant 8,282,734 - Padhi , et al. October 9, 2
2012-10-09
Methods To Improve The In-film Defectivity Of Pecvd Amorphous Carbon Films
App 20120204795 - Padhi; Deenesh ;   et al.
2012-08-16
Method For Depositing An Amorphous Carbon Film With Improved Density And Step Coverage
App 20120208373 - PADHI; DEENESH ;   et al.
2012-08-16
Tunable Ground Planes In Plasma Chambers
App 20120205046 - Janakiraman; Karthik ;   et al.
2012-08-16
Method To Increase Silicon Nitride Tensile Stress Using Nitrogen Plasma In-situ Treatment And Ex-situ Uv Cure
App 20120196450 - Balseanu; Mihaela ;   et al.
2012-08-02
Method To Increase Tensile Stress Of Silicon Nitride Films Using A Post Pecvd Deposition Uv Cure
App 20120196452 - Balseanu; Mihaela ;   et al.
2012-08-02
Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
Grant 8,138,104 - Balseanu , et al. March 20, 2
2012-03-20
Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
Grant 8,129,290 - Balseanu , et al. March 6, 2
2012-03-06
Method of depositing boron nitride and boron nitride-derived materials
Grant 8,084,105 - Huh , et al. December 27, 2
2011-12-27
CMP pad thickness and profile monitoring system
Grant 8,043,870 - Manens , et al. October 25, 2
2011-10-25
Fluid Filtration For Substrate Processing Chamber
App 20110256041 - HO; Dustin W. ;   et al.
2011-10-20
Methods to obtain low k dielectric barrier with superior etch resistivity
Grant 7,964,442 - Xu , et al. June 21, 2
2011-06-21
Decreasing the etch rate of silicon nitride by carbon addition
Grant 7,951,730 - Bhatia , et al. May 31, 2
2011-05-31
Method For Depositing An Amorphous Carbon Film With Improved Density And Step Coverage
App 20110104400 - Padhi; Deenesh ;   et al.
2011-05-05
Apparatus And Method For Substrate Clamping In A Plasma Chamber
App 20110090613 - Balasubramanian; Ganesh ;   et al.
2011-04-21
METHOD TO MINIMIZE WET ETCH UNDERCUTS AND PROVIDE PORE SEALING OF EXTREME LOW K (K<2.5) DIELECTRICS
App 20110092077 - XU; HUIWEN ;   et al.
2011-04-21
Method to improve the step coverage and pattern loading for dielectric films
Grant 7,923,386 - Balseanu , et al. April 12, 2
2011-04-12
Methods and systems for forming at least one dielectric layer
Grant 7,871,926 - Xia , et al. January 18, 2
2011-01-18
Method for depositing an amorphous carbon film with improved density and step coverage
Grant 7,867,578 - Padhi , et al. January 11, 2
2011-01-11
Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
Grant 7,851,384 - Liu , et al. December 14, 2
2010-12-14
Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
Grant 7,802,538 - Padhi , et al. September 28, 2
2010-09-28
Clean process for an electron beam source
Grant 7,790,583 - Demos , et al. September 7, 2
2010-09-07
Method to increase the compressive stress of PECVD dielectric films
Grant 7,790,635 - Balseanu , et al. September 7, 2
2010-09-07
Method to improve the step coverage and pattern loading for dielectric films
Grant 7,780,865 - Balseanu , et al. August 24, 2
2010-08-24
Vacuum reaction chamber with x-lamp heater
Grant 7,777,197 - Al-Bayati , et al. August 17, 2
2010-08-17
Novel Air Gap Integration Scheme
App 20100151671 - Demos; Alexandros T. ;   et al.
2010-06-17
Method to increase the compressive stress of PECVD silicon nitride films
Grant 7,732,342 - Balseanu , et al. June 8, 2
2010-06-08
Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
Grant 7,723,228 - Rajagopalan , et al. May 25, 2
2010-05-25
Techniques for the use of amorphous carbon (APF) for various etch and litho integration schemes
Grant 7,718,081 - Liu , et al. May 18, 2
2010-05-18
Selective copper-silicon-nitride layer formation for an improved dielectric film/copper line interface
Grant 7,718,548 - Lee , et al. May 18, 2
2010-05-18
Boron derived materials deposition method
Grant 7,704,816 - Huh , et al. April 27, 2
2010-04-27
Oxide-like seasoning for dielectric low k films
Grant 7,700,486 - Park , et al. April 20, 2
2010-04-20
Method and system for supplying a cleaning gas into a process chamber
Grant 7,699,935 - Sankarakrishnan , et al. April 20, 2
2010-04-20
Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
Grant 7,692,171 - Kaszuba , et al. April 6, 2
2010-04-06
Memory cell having stressed layers
Grant 7,678,662 - Arghavani , et al. March 16, 2
2010-03-16
Air gap integration scheme
Grant 7,670,924 - Demos , et al. March 2, 2
2010-03-02
Method To Improve The Step Coverage And Pattern Loading For Dielectric Films
App 20100048030 - BALSEANU; MIHAELA ;   et al.
2010-02-25
High efficiency UV curing system
Grant 7,663,121 - Nowak , et al. February 16, 2
2010-02-16
Method and System for Supplying a Cleaning Gas Into a Process Chamber
App 20100012273 - Sankarakrishnan; Ramprakash ;   et al.
2010-01-21
Method of depositing an amorphous carbon film for etch hardmask application
Grant 7,638,440 - Wang , et al. December 29, 2
2009-12-29
Method And System For Supplying A Cleaning Gas Into A Process Chamber
App 20090314309 - Sankarakrishnan; Ramprakash ;   et al.
2009-12-24
Cmp Pad Thickness And Profile Monitoring System
App 20090280580 - Manens; Antoine P. ;   et al.
2009-11-12
Pad Properties Using Nanoparticle Additives
App 20090278081 - M'Saad; Hichem ;   et al.
2009-11-12
Multi-stage curing of low K nano-porous films
Grant 7,611,996 - Schmitt , et al. November 3, 2
2009-11-03
Method to improve the step coverage and pattern loading for dielectric films
Grant 7,601,651 - Balseanu , et al. October 13, 2
2009-10-13
Tunable Ground Planes In Plasma Chambers
App 20090236214 - Janakiraman; Karthik ;   et al.
2009-09-24
Blocker plate bypass to distribute gases in a chemical vapor deposition system
Grant 7,572,337 - Rocha-Alvarez , et al. August 11, 2
2009-08-11
Integration process for fabricating stressed transistor structure
Grant 7,566,655 - Balseanu , et al. July 28, 2
2009-07-28
High Efficiency Uv Curing System
App 20090162259 - Nowak; Thomas ;   et al.
2009-06-25
Decreasing The Etch Rate Of Silicon Nitride By Carbon Addition
App 20090137132 - Bhatia; Ritwik ;   et al.
2009-05-28
Methods and Systems for Forming at Least One Dielectric Layer
App 20090104764 - Xia; Li-Qun ;   et al.
2009-04-23
Methods For High Temperature Deposition Of An Amorphous Carbon Layer
App 20090093128 - SEAMONS; MARTIN JAY ;   et al.
2009-04-09
Method For Forming An Air Gap In Multilevel Interconnect Structure
App 20090093100 - Xia; Li-Qun ;   et al.
2009-04-09
Methods To Obtain Low K Dielectric Barrier With Superior Etch Resistivity
App 20090093132 - XU; HUIWEN ;   et al.
2009-04-09
Methods to improve the in-film defectivity of PECVD amorphous carbon films
Grant 7,514,125 - Padhi , et al. April 7, 2
2009-04-07
Decreasing the etch rate of silicon nitride by carbon addition
Grant 7,501,355 - Bhatia , et al. March 10, 2
2009-03-10
Formation of low K material utilizing process having readily cleaned by-products
Grant 7,501,354 - Ho , et al. March 10, 2
2009-03-10
Methods To Improve The In-film Defectivity Of Pecvd Amorphous Carbon Films
App 20090044753 - Padhi; Deenesh ;   et al.
2009-02-19
Boron Derived Materials Deposition Method
App 20090017640 - Huh; Jeong-Uk ;   et al.
2009-01-15
Methods and apparatuses promoting adhesion of dielectric barrier film to copper
App 20090011148 - Rajagopalan; Nagarajan ;   et al.
2009-01-08
Adhesion improvement for low k dielectrics
Grant 7,459,404 - Li , et al. December 2, 2
2008-12-02
Boron Nitride And Boron Nitride-derived Materials Deposition Method
App 20080292798 - Huh; Jeong-Uk ;   et al.
2008-11-27
Plasma-induced Charge Damage Control For Plasma Enhanced Chemical Vapor Deposition Processes
App 20080254233 - LEE; KWANGDUK DOUGLAS ;   et al.
2008-10-16
Method and apparatus for reducing charge density on a dielectric coated substrate after exposure to a large area electron beam
Grant 7,425,716 - Demos , et al. September 16, 2
2008-09-16
Selective Copper-silicon-nitride Layer Formation For An Improved Dielectric Film/copper Line Interface
App 20080213997 - Lee; Sang M. ;   et al.
2008-09-04
Liquid precursors for the CVD deposition of amorphous carbon films
Grant 7,407,893 - Seamons , et al. August 5, 2
2008-08-05
Novel Air Gap Integration Scheme
App 20080182404 - DEMOS; ALEXANDROS T. ;   et al.
2008-07-31
Method For Depositing An Amorphous Carbon Film With Improved Density And Step Coverage
App 20080153311 - Padhi; Deenesh ;   et al.
2008-06-26
Method To Increase The Compressive Stress Of Pecvd Dielectric Films
App 20080146007 - Balseanu; Mihaela ;   et al.
2008-06-19
Method of forming a phosphorus doped optical core using a PECVD process
Grant 7,383,702 - M'Saad , et al. June 10, 2
2008-06-10
Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
Grant 7,371,427 - Rajagopalan , et al. May 13, 2
2008-05-13
Method For Forming An Ultra Low Dielectric Film By Forming An Organosilicon Matrix And Large Porogens As A Template For Increased Porosity
App 20080107573 - Schmitt; Francimar ;   et al.
2008-05-08
Method For Forming An Ultra Low Dielectric Film By Forming An Organosilicon Matrix And Large Porogens As A Template For Increased Porosity
App 20080105978 - Schmitt; Francimar ;   et al.
2008-05-08
Multi-stage Curing Of Low K Nano-porous Films
App 20080099920 - Schmitt; Francimar ;   et al.
2008-05-01
Apparatus And Method For Substrate Clamping In A Plasma Chamber
App 20080084650 - Balasubramanian; Ganesh ;   et al.
2008-04-10
Reduction Of Hillocks Prior To Dielectric Barrier Deposition In Cu Damascene
App 20080075888 - Rajagopalan; Nagarajan ;   et al.
2008-03-27
Apparatus And Method For Exposing A Substrate To Uv Radiation Using Asymmetric Reflectors
App 20080067425 - Kaszuba; Andrzei ;   et al.
2008-03-20
Clean Process for an Electron Beam Source
App 20080041415 - Demos; Alexandros T. ;   et al.
2008-02-21
Stress Reduction Of Sioc Low K Film By Addition Of Alkylenes To Omcts Based Processes
App 20080044594 - Schmitt; Francimar C. ;   et al.
2008-02-21
Method of forming a phosphorus doped optical core using a PECVD process
Grant 7,325,419 - M'Saad , et al. February 5, 2
2008-02-05
Clean process for an electron beam source
Grant 7,323,399 - Demos , et al. January 29, 2
2008-01-29
Method To Increase Silicon Nitride Tensile Stress Using Nitrogen Plasma In-situ Treatment And Ex-situ Uv Cure
App 20080020591 - Balseanu; Mihaela ;   et al.
2008-01-24
Decreasing the etch rate of silicon nitride by carbon addition
App 20080014761 - Bhatia; Ritwik ;   et al.
2008-01-17
Method for plasma processing
App 20080008842 - Soo; Jyr Hong ;   et al.
2008-01-10
Method For Depositing an Amorphous Carbon Film with Improved Density and Step Coverage
App 20080003824 - Padhi; Deenesh ;   et al.
2008-01-03
Methods To Improve The In-film Defectivity Of Pecvd Amorphous Carbon Films
App 20070295272 - Padhi; Deenesh ;   et al.
2007-12-27
METHOD TO MINIMIZE WET ETCH UNDERCUTS AND PROVIDE PORE SEALING OF EXTREME LOW K (K<2.5) DIELECTRICS
App 20070287301 - Xu; Huiwen ;   et al.
2007-12-13
Method To Mitigate Impact Of Uv And E-beam Exposure On Semiconductor Device Film Properties By Use Of A Bilayer Film
App 20070281497 - Liu; Yijun ;   et al.
2007-12-06
Method To Improve The Step Coverage And Pattern Loading For Dielectric Films
App 20070232071 - Balseanu; Mihaela ;   et al.
2007-10-04
Method To Improve The Step Coverage And Pattern Loading For Dielectric Films
App 20070232082 - Balseanu; Mihaela ;   et al.
2007-10-04
Enhancement of remote plasma source clean for dielectric films
App 20070207275 - Nowak; Thomas ;   et al.
2007-09-06
Low-k spacer integration into CMOS transistors
App 20070202640 - Al-Bayati; Amir ;   et al.
2007-08-30
Interface engineering to improve adhesion between low k stacks
Grant 7,259,111 - Padhi , et al. August 21, 2
2007-08-21
Methodology for determining electron beam penetration depth
Grant 7,259,381 - Liu , et al. August 21, 2
2007-08-21
Memory Cell Having Stressed Layers
App 20070132054 - Arghavani; Reza ;   et al.
2007-06-14
Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
App 20070134435 - Ahn; Sang H. ;   et al.
2007-06-14
Method To Deposit Functionally Graded Dielectric Films Via Chemical Vapor Deposition Using Viscous Precursors
App 20070079753 - Padhi; Deenesh ;   et al.
2007-04-12
Non-intrusive plasma monitoring system for arc detection and prevention for blanket CVD films
App 20070042131 - Soo; Jyr Hong ;   et al.
2007-02-22
Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
Grant 7,166,544 - Padhi , et al. January 23, 2
2007-01-23
Vacuum Reaction Chamber With X-lamp Heater
App 20060289795 - Dubois; Dale R. ;   et al.
2006-12-28
Vacuum Reaction Chamber With X-lamp Heater
App 20060272772 - Al-Bayati; Amir ;   et al.
2006-12-07
Method Of Forming A Phosphorus Doped Optical Core Using A Pecvd Process
App 20060266081 - M'Saad; Hichem ;   et al.
2006-11-30
Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
App 20060269693 - Balseanu; Mihaela ;   et al.
2006-11-30
Heated Gas Feedthrough For Cvd Chambers
App 20060270221 - M'Saad; Hichem ;   et al.
2006-11-30
Method to increase the compressive stress of PECVD silicon nitride films
App 20060269692 - Balseanu; Mihaela ;   et al.
2006-11-30
Integration process for fabricating stressed transistor structure
App 20060270217 - Balseanu; Mihaela ;   et al.
2006-11-30
High efficiency UV curing system
App 20060249175 - Nowak; Thomas ;   et al.
2006-11-09
High Efficiency Uv Curing System
App 20060249078 - Nowak; Thomas ;   et al.
2006-11-09
Tandem Uv Chamber For Curing Dielectric Materials
App 20060251827 - Nowak; Thomas ;   et al.
2006-11-09
Techniques For The Use Of Amorphous Carbon (apf) For Various Etch And Litho Integration Schemes
App 20060231524 - Liu; Wei ;   et al.
2006-10-19
Oxide-like Seasoning For Dielectric Low K Films
App 20060219175 - Park; Sohyun ;   et al.
2006-10-05
Oxide-like seasoning for dielectric low k films
Grant 7,115,508 - Park , et al. October 3, 2
2006-10-03
In-situ oxide capping after CVD low k deposition
Grant 7,112,541 - Xia , et al. September 26, 2
2006-09-26
Method Of Forming A Phosphorus Doped Optical Core Using A Pecvd Process
App 20060207294 - M'Saad; Hichem ;   et al.
2006-09-21
Nitrogen-free dielectric anti-reflective coating and hardmask
Grant 7,105,460 - Kim , et al. September 12, 2
2006-09-12
Method and apparatus for reducing charge density on a dielectric coated substrate after exposure to a large area electron beam
App 20060192150 - Demos; Alexandros T. ;   et al.
2006-08-31
Adhesion improvement for low k dielectrics
App 20060189162 - Huang; Lihua Li ;   et al.
2006-08-24
Method of forming a phosphorus doped optical core using a PECVD process
Grant 7,080,528 - M'Saad , et al. July 25, 2
2006-07-25
Formation of low K material utilizing process having readily cleaned by-products
App 20060160374 - Ho; Dustin W. ;   et al.
2006-07-20
Interface engineering to improve adhesion between low k stacks
App 20060160376 - Padhi; Deenesh ;   et al.
2006-07-20
Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
Grant 7,064,078 - Liu , et al. June 20, 2
2006-06-20
Method of forming low dielectric constant porous films
Grant 7,060,638 - Nguyen , et al. June 13, 2
2006-06-13
Method for forming a low thermal budget spacer
Grant 7,049,200 - Arghavani , et al. May 23, 2
2006-05-23
Tensile and compressive stressed materials for semiconductors
App 20060105106 - Balseanu; Mihaela ;   et al.
2006-05-18
Characterizing an electron beam treatment apparatus
Grant 7,045,798 - Elsheref , et al. May 16, 2
2006-05-16
High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
App 20060093756 - Rajagopalan; Nagarajan ;   et al.
2006-05-04
Adhesion improvement for low k dielectrics
Grant 7,030,041 - Li , et al. April 18, 2
2006-04-18
Post treatment of low k dielectric films
Grant 7,018,941 - Cui , et al. March 28, 2
2006-03-28
Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
App 20060046520 - Padhi; Deenesh ;   et al.
2006-03-02
Methodology for determining electron beam penetration depth
App 20060027764 - Liu; Josephine J. ;   et al.
2006-02-09
Liquid precursors for the CVD deposition of amorphous carbon films
App 20050287771 - Seamons, Martin Jay ;   et al.
2005-12-29
Advanced low dielectric constant barrier layers
App 20050277302 - Nguyen, Son Van ;   et al.
2005-12-15
Blocker plate bypass to distribute gases in a chemical vapor deposition system
App 20050263248 - Rocha-Alvarez, Juan Carlos ;   et al.
2005-12-01
Method For Forming A Low Thermal Budget Spacer
App 20050266622 - Arghavani, Reza ;   et al.
2005-12-01
In-situ oxide capping after CVD low k deposition
App 20050250348 - Xia, Li-Qun ;   et al.
2005-11-10
Post Treatment Of Low K Dielectric Films
App 20050239293 - Cui, Zhenjiang ;   et al.
2005-10-27
Multi-stage curing of low K nano-porous films
App 20050230834 - Schmitt, Francimar ;   et al.
2005-10-20
Oxide-like seasoning for dielectric low k films
App 20050227499 - Park, Sohyun ;   et al.
2005-10-13
Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
App 20050227502 - Schmitt, Francimar ;   et al.
2005-10-13
Method and apparatus for reducing charge density on a dielectric coated substrate after exposure to large area electron beam
App 20050224722 - Demos, Alexandros T. ;   et al.
2005-10-13
Low dielectric constant porous films
App 20050215065 - Nguyen, Son Van ;   et al.
2005-09-29
Deposition of low dielectric constant films by N2O addition
App 20050214457 - Schmitt, Francimar ;   et al.
2005-09-29
Method of depositing an amorphous carbon film for etch hardmask application
App 20050202683 - Wang, Yuxiang May ;   et al.
2005-09-15
Adhesion improvement for low k dielectrics
App 20050202685 - Huang, Lihua Li ;   et al.
2005-09-15
Characterizing An Electron Beam Treatment Apparatus
App 20050184257 - Elsheref, Khaled A. ;   et al.
2005-08-25
Methods and apparatuses promoting adhesion of dielectric barrier film to copper
App 20050186339 - Rajagopalan, Nagarajan ;   et al.
2005-08-25
Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
Grant 6,926,926 - Cho , et al. August 9, 2
2005-08-09
Nitrogen-free dielectric anti-reflective coating and hardmask
Grant 6,927,178 - Kim , et al. August 9, 2
2005-08-09
Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
App 20050167394 - liu, Wei ;   et al.
2005-08-04
HDP-CVD film for uppercladding application in optical waveguides
App 20050089293 - M'Saad, Hichem
2005-04-28
Stress reduction of sioc low k films
App 20050037153 - Schmitt, Francimar C. ;   et al.
2005-02-17
Nitrogen-free antireflective coating for use with photolithographic patterning
Grant 6,853,043 - Yeh , et al. February 8, 2
2005-02-08
Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
App 20040253378 - Schmitt, Francimar C. ;   et al.
2004-12-16
Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
App 20040231795 - Rajagopalan, Nagarajan ;   et al.
2004-11-25
Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
App 20040235292 - Rajagopalan, Nagarajan ;   et al.
2004-11-25
Nitrogen-free dielectric anti-reflective coating and hardmask
App 20040214446 - Kim, Bok Hoen ;   et al.
2004-10-28
Apparatus for improving barrier layer adhesion to HDP-FSG thin films
Grant 6,803,325 - M'Saad , et al. October 12, 2
2004-10-12
Nitrogen-free hard mask over low K dielectric
App 20040185674 - M'Saad, Hichem ;   et al.
2004-09-23
Clean process for an electron beam source
App 20040159638 - Demos, Alexandros T. ;   et al.
2004-08-19
Nitrogen-free antireflective coating for use with photolithographic patterning
App 20040087139 - Yeh, Wendy H. ;   et al.
2004-05-06
Method of forming a phosphorus doped optical core using a PECVD process
App 20040079118 - M'Saad, Hichem ;   et al.
2004-04-29
Barrier layer deposition using HDP-CVD
Grant 6,713,390 - M'Saad , et al. March 30, 2
2004-03-30
Nitrogen-free dielectric anti-reflective coating and hardmask
App 20040009676 - Kim, Bok Hoen ;   et al.
2004-01-15
Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers
Grant 6,667,248 - M'Saad , et al. December 23, 2
2003-12-23
Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
App 20030211244 - Li, Lihua ;   et al.
2003-11-13
HDP-CVD film for uppercladding application in optical waveguides
App 20030113085 - M'Saad, Hichem
2003-06-19
Method of manufacturing an optical core
App 20030110808 - M'Saad, Hichem ;   et al.
2003-06-19
Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
App 20030049388 - Cho, Seon-Mee ;   et al.
2003-03-13
Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers
App 20030050724 - M'Saad, Hichem ;   et al.
2003-03-13
Barrier layer deposition using HDP-CVD
App 20030032282 - M'Saad, Hichem ;   et al.
2003-02-13
Apparatus for improving barrier layer adhesion to HDP-FSG thin films
App 20020150682 - M'Saad, Hichem ;   et al.
2002-10-17
Barrier layer deposition using HDP-CVD
Grant 6,399,489 - M'Saad , et al. June 4, 2
2002-06-04
Nitrogen Treatment Of Polished Halogen-doped Silicon Glass
App 20010033900 - M'SAAD, HICHEM ;   et al.
2001-10-25
Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
Grant 6,121,161 - Rossman , et al. September 19, 2
2000-09-19
Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
Grant 6,013,584 - M'Saad January 11, 2
2000-01-11

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed