loadpatents
name:-0.029922008514404
name:-0.015233039855957
name:-0.0051519870758057
Lueker-Boden; Martin Patent Filings

Lueker-Boden; Martin

Patent Applications and Registrations

Patent applications and USPTO patent grants for Lueker-Boden; Martin.The latest application filed is for "disaggregation of control path and data path".

Company Profile
4.14.30
  • Lueker-Boden; Martin - Freemont CA
  • Lueker-Boden; Martin - Fremont CA
  • Lueker-Boden; Martin - San Jose CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Disaggregation Of Control Path And Data Path
App 20220261165 - Wang; Qingbo ;   et al.
2022-08-18
Vertical mapping and computing for deep neural networks in non-volatile memory
Grant 11,397,886 - Hoang , et al. July 26, 2
2022-07-26
Vertical mapping and computing for deep neural networks in non-volatile memory
Grant 11,397,885 - Hoang , et al. July 26, 2
2022-07-26
Super-sparse Image Compression Using Cross-bar Non-volatile Memory Device
App 20220171992 - Ma; Wen ;   et al.
2022-06-02
Realization of binary neural networks in NAND memory arrays
Grant 11,328,204 - Choi , et al. May 10, 2
2022-05-10
Non-volatile Memory With On-chip Principal Component Analysis For Generating Low Dimensional Outputs For Machine Learning
App 20220100375 - Choi; Won Ho ;   et al.
2022-03-31
Super-sparse image compression using cross-bar non-volatile memory device
Grant 11,275,968 - Ma , et al. March 15, 2
2022-03-15
Non-volatile memory with on-chip principal component analysis for generating low dimensional outputs for machine learning
Grant 11,216,184 - Choi , et al. January 4, 2
2022-01-04
Compute-in-memory Deep Neural Network Inference Engine Using Low-rank Approximation Technique
App 20210406672 - Hoang; Tung Thanh ;   et al.
2021-12-30
Accelerating Binary Neural Networks Within Latch Structure Of Non-volatile Memory Devices
App 20210397930 - Kulkarni; Anand ;   et al.
2021-12-23
Multi-precision Digital Compute-in-memory Deep Neural Network Engine For Flexible And Energy Efficient Inferencing
App 20210397974 - Hoang; Tung Thanh ;   et al.
2021-12-23
Recurrent Neural Network Inference Engine With Gated Recurrent Unit Cell And Non-volatile Memory Arrays
App 20210397931 - Hoang; Tung Thanh ;   et al.
2021-12-23
Realization of neural networks with ternary inputs and binary weights in NAND memory arrays
Grant 11,170,290 - Hoang , et al. November 9, 2
2021-11-09
Vertical Mapping And Computing For Deep Neural Networks In Non-volatile Memory
App 20210342676 - Hoang; Tung Thanh ;   et al.
2021-11-04
Vertical Mapping And Computing For Deep Neural Networks In Non-volatile Memory
App 20210342671 - Hoang; Tung Thanh ;   et al.
2021-11-04
Hardware Accelerated Discretized Neural Network
App 20210334338 - Ma; Wen ;   et al.
2021-10-28
Reconfigurable Input Precision In-memory Computing
App 20210326110 - Ma; Wen ;   et al.
2021-10-21
Crosspoint memory architecture for high bandwidth operation with small page buffer
Grant 11,099,784 - Choi , et al. August 24, 2
2021-08-24
Dynamic resource management in circuit bound array architecture
Grant 11,081,474 - Hoang , et al. August 3, 2
2021-08-03
Binary weighted voltage encoding scheme for supporting multi-bit input precision
Grant 11,081,148 - Choi , et al. August 3, 2
2021-08-03
Hardware accelerated discretized neural network
Grant 11,074,318 - Ma , et al. July 27, 2
2021-07-27
Kernel Transformation Techniques To Reduce Power Consumption Of Binary Input, Binary Weight In-memory Convolutional Neural Network Inference Engine
App 20210192325 - Hoang; Tung Thanh ;   et al.
2021-06-24
Crosspoint Memory Architecture For High Bandwidth Operation With Small Page Buffer
App 20210181979 - Choi; Won Ho ;   et al.
2021-06-17
Non-volatile Memory With On-chip Principal Component Analysis For Generating Low Dimensional Outputs For Machine Learning
App 20210173560 - Choi; Won Ho ;   et al.
2021-06-10
Accelerating Sparse Matrix Multiplication In Storage Class Memory-based Convolutional Neural Network Inference
App 20210110235 - Hoang; Tung Thanh ;   et al.
2021-04-15
Realization Of Neural Networks With Ternary Inputs And Ternary Weights In Nand Memory Arrays
App 20210110244 - Hoang; Tung Thanh ;   et al.
2021-04-15
Binary Weighted Voltage Encoding Scheme For Supporting Multi-bit Input Precision
App 20200410334 - Choi; Won Ho ;   et al.
2020-12-31
Binary Weighted Voltage Encoding Scheme For Supporting Multi-bit Input Precision
App 20200411066 - Choi; Won Ho ;   et al.
2020-12-31
Binary Weighted Voltage Encoding Scheme For Supporting Multi-bit Input Precision
App 20200411065 - Choi; Won Ho ;   et al.
2020-12-31
Realization Of Neural Networks With Ternary Inputs And Binary Weights In Nand Memory Arrays
App 20200311523 - Hoang; Tung Thanh ;   et al.
2020-10-01
Realization Of Binary Neural Networks In Nand Memory Arrays
App 20200311512 - Choi; Won Ho ;   et al.
2020-10-01
Super-sparse Image Compression Using Cross-bar Non-volatile Memory Device
App 20200257936 - A1
2020-08-13
Hardware Accelerated Discretized Neural Network
App 20200192970 - Ma; Wen ;   et al.
2020-06-18
Differential non-volatile memory cell for artificial neural network
Grant 10,643,119 - Chiu , et al.
2020-05-05
Configurable precision neural network with differential binary non-volatile memory cell structure
Grant 10,643,705 - Choi , et al.
2020-05-05
Shifting Architecture For Data Reuse In A Neural Network
App 20200117982 - Chiu; Pi-Feng ;   et al.
2020-04-16
Configurable Precision Neural Network With Differential Binary Non-volatile Memory Cell Structure
App 20200035305 - Choi; Won Ho ;   et al.
2020-01-30
Realization Of Binary Neural Networks In Nand Memory Arrays
App 20200034697 - Choi; Won Ho ;   et al.
2020-01-30
Differential Non-volatile Memory Cell For Artificial Neural Network
App 20200034686 - Chiu; Pi-Feng ;   et al.
2020-01-30
Vector-matrix multiplication using non-volatile memory cells
Grant 10,528,643 - Choi , et al. J
2020-01-07
Cross-point memory array addressing
Grant 10,497,438 - Choi , et al. De
2019-12-03
Cross-point Memory Array Addressing
App 20180301188 - CHOI; WON HO ;   et al.
2018-10-18
Acknowledgement-less Canary-based Completion Protocol
App 20170242821 - LUEKER-BODEN; Martin ;   et al.
2017-08-24
Driverless Storage Device Using Serially-attached Non-volatile Memory
App 20170139849 - BANDIC; Zvonimir Z. ;   et al.
2017-05-18

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed