loadpatents
name:-0.12061500549316
name:-0.079016923904419
name:-0.011431932449341
Lu; Yung-Cheng Patent Filings

Lu; Yung-Cheng

Patent Applications and Registrations

Patent applications and USPTO patent grants for Lu; Yung-Cheng.The latest application filed is for "semiconductor device with isolation structure".

Company Profile
14.101.118
  • Lu; Yung-Cheng - Hsinchu City TW
  • Lu; Yung-Cheng - Hsinchu TW
  • Lu; Yung-Cheng - Taoyuan TW
  • Lu; Yung-Cheng - Hsin-Chu TW
  • LU; Yung-Cheng - Taoyuan City TW
  • Lu; Yung-Cheng - Taipei TW
  • Lu; Yung-Cheng - San Jose CA
  • Lu; Yung-Cheng - Taipei City TW
  • Lu; Yung-Cheng - Zhongli City TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Semiconductor Device With Isolation Structure
App 20220293596 - WANG; Chieh-Ping ;   et al.
2022-09-15
Semiconductor device and method
Grant 11,444,177 - Lin , et al. September 13, 2
2022-09-13
Dielectric Spacer to Prevent Contacting Shorting
App 20220285529 - Chen; Ting-Gang ;   et al.
2022-09-08
Semiconductor device and method of manufacture
Grant 11,437,492 - Kao , et al. September 6, 2
2022-09-06
Post-formation Mends Of Dielectric Features
App 20220254901 - Kao; Wan-Yi ;   et al.
2022-08-11
Reducing K Values of Dielectric Films Through Anneal
App 20220238697 - Lin; Wen-Kai ;   et al.
2022-07-28
Semiconductor Device and Method
App 20220231022 - Kao; Wan-Yi ;   et al.
2022-07-21
Semiconductor device with isolation structure
Grant 11,348,917 - Wang , et al. May 31, 2
2022-05-31
Dielectric spacer to prevent contacting shorting
Grant 11,342,444 - Chen , et al. May 24, 2
2022-05-24
Post-formation mends of dielectric features
Grant 11,316,034 - Kao , et al. April 26, 2
2022-04-26
Gapfill Structure And Manufacturing Methods Thereof
App 20220051950 - Lin; Sung-En ;   et al.
2022-02-17
Nanosheet Field-effect Transistor Device And Method Of Forming
App 20220052181 - Lin; Wen-Kai ;   et al.
2022-02-17
Semiconductor Device and Method of Manufacture
App 20220037321 - Kao; Wan-Yi ;   et al.
2022-02-03
Semiconductor Device and Method of Manufacture
App 20220029011 - Kao; Wan-Yi ;   et al.
2022-01-27
Method of forming isolation layer
Grant 11,227,788 - Tsai , et al. January 18, 2
2022-01-18
Semiconductor Device And Method
App 20220013364 - Chang; Ya-Lan ;   et al.
2022-01-13
Defect filling in patterned layer
Grant 11,205,709 - Chang , et al. December 21, 2
2021-12-21
Semiconductor Devices and Methods of Manufacture
App 20210376105 - Lin; Wen-Kai ;   et al.
2021-12-02
Post-Formation Mends of Dielectric Features
App 20210376113 - Kao; Wan-Yi ;   et al.
2021-12-02
Semiconductor Device and Method of Manufacture
App 20210367063 - Kao; Wan-Yi ;   et al.
2021-11-25
Replacement Gate Process for Semiconductor Devices
App 20210358816 - Shen; Yu-Jen ;   et al.
2021-11-18
Semiconductor Device With Isolation Structure
App 20210343709 - WANG; Chieh-Ping ;   et al.
2021-11-04
Semiconductor Devices And Methods Of Manufacture
App 20210335657 - Lu; Bo-Cyuan ;   et al.
2021-10-28
Dielectric spacer to prevent contacting shorting
Grant 11,107,902 - Chen , et al. August 31, 2
2021-08-31
Semiconductor Device And Method
App 20210242333 - Kao; Wan-Yi ;   et al.
2021-08-05
Semiconductor Device and Method
App 20210242327 - Lin; Wen-Kai ;   et al.
2021-08-05
Replacement gate process for semiconductor devices
Grant 11,081,402 - Shen , et al. August 3, 2
2021-08-03
CMP-friendly coatings for planar recessing or removing of variable-height layers
Grant 11,011,385 - Liu , et al. May 18, 2
2021-05-18
Atomic Layer Deposition Tool And Method
App 20210115557 - Ko; Chung-Ting ;   et al.
2021-04-22
Method Of Forming Isolation Layer
App 20200335388 - TSAI; Teng-Chun ;   et al.
2020-10-22
Method of forming isolation layer
Grant 10,707,114 - Tsai , et al.
2020-07-07
Environment control system and method of guiding occupant to make demand response to environment status thereof
Grant 10,648,689 - Lu , et al.
2020-05-12
Network management system and method for automatic registration of network device thereof
Grant 10,641,513 - Lu , et al.
2020-05-05
Replacement Gate Process for Semiconductor Devices
App 20200118887 - Shen; Yu-Jen ;   et al.
2020-04-16
Dielectric Spacer to Prevent Contacting Shorting
App 20200013875 - Chen; Ting-Gang ;   et al.
2020-01-09
Defect Filling in Patterned Layer
App 20190393325 - Chang; Chia-Ao ;   et al.
2019-12-26
Dielectric Spacer to Prevent Contacting Shorting
App 20190393324 - Chen; Ting-Gang ;   et al.
2019-12-26
Replacement gate process for semiconductor devices
Grant 10,515,860 - Shen , et al. Dec
2019-12-24
Method of forming isolation layer
Grant 10,418,271 - Tsai , et al. Sept
2019-09-17
Semiconductor device and method of forming vertical structure
Grant 10,325,994 - Peng , et al.
2019-06-18
Method for forming semiconductor device structure with gate structure
Grant 10,312,158 - Li , et al.
2019-06-04
CMP Polishing Head Design for Improving Removal Rate Uniformity
App 20190126429 - Hou; Te-Chien ;   et al.
2019-05-02
Multi-layer mask and method of forming same
Grant 10,269,567 - Tsai , et al.
2019-04-23
Method For Forming Semiconductor Device Structure With Gate Structure
App 20190043763 - LI; Yi-Cheng ;   et al.
2019-02-07
CMP polishing head design for improving removal rate uniformity
Grant 10,160,091 - Hou , et al. Dec
2018-12-25
Method Of Forming Isolation Layer
App 20180350655 - TSAI; Teng-Chun ;   et al.
2018-12-06
Polisher, polishing tool, and polishing method
Grant 10,144,109 - Tsai , et al. De
2018-12-04
Environment Control System And Method Of Guiding Occupant To Make Demand Response To Environment Status Thereof
App 20180320917 - LU; Yung-Cheng ;   et al.
2018-11-08
Network Management System And Method For Automatic Registration Of Network Device Thereof
App 20180324226 - LU; Yung-Cheng ;   et al.
2018-11-08
Semiconductor Device And Method Of Forming Vertical Structure
App 20180240882 - PENG; Chih-Tang ;   et al.
2018-08-23
Replacement Gate Process for Semiconductor Devices
App 20180197795 - Shen; Yu-Jen ;   et al.
2018-07-12
Method for operating a polishing head and method for polishing a substrate
Grant 9,987,720 - Suen , et al. June 5, 2
2018-06-05
Systems and methods for performing chemical mechanical planarization
Grant 9,962,801 - Lee , et al. May 8, 2
2018-05-08
Semiconductor device and method of forming vertical structure
Grant 9,954,069 - Peng , et al. April 24, 2
2018-04-24
Replacement gate process for semiconductor devices
Grant 9,917,017 - Shen , et al. March 13, 2
2018-03-13
Multi-Layer Mask and Method of Forming Same
App 20170372900 - Tsai; Teng-Chun ;   et al.
2017-12-28
Cmp-friendly Coatings For Planar Recessing Or Removing Of Variable-height Layers
App 20170352548 - Liu; Wen-Kuei ;   et al.
2017-12-07
Multi-layer mask and method of forming same
Grant 9,768,024 - Tsai , et al. September 19, 2
2017-09-19
CMP-friendly coatings for planar recessing or removing of variable-height layers
Grant 9,748,109 - Liu , et al. August 29, 2
2017-08-29
Polisher, Polishing Tool, And Polishing Method
App 20170190017 - TSAI; Teng-Chun ;   et al.
2017-07-06
Replacement Gate Process for Semiconductor Devices
App 20170186650 - Shen; Yu-Jen ;   et al.
2017-06-29
CMP Polishing Head Design for Improving Removal Rate Uniformity
App 20170136602 - Hou; Te-Chien ;   et al.
2017-05-18
Methods of forming contact feature
Grant 9,620,628 - Huang , et al. April 11, 2
2017-04-11
BARC-assisted process for planar recessing or removing of variable-height layers
Grant 9,478,431 - Liu , et al. October 25, 2
2016-10-25
Semiconductor Device And Method Of Forming Vertical Structure
App 20160211370 - PENG; CHIH-TANG ;   et al.
2016-07-21
Carbonization of metal caps
Grant 9,385,034 - Chang , et al. July 5, 2
2016-07-05
Cmp-friendly Coatings For Planar Recessing Or Removing Of Variable-height Layers
App 20160172209 - Liu; Wen-Kuei ;   et al.
2016-06-16
Platen assembly, chemical-mechanical polisher, and method for polishing substrate
Grant 9,352,443 - Suen , et al. May 31, 2
2016-05-31
Method For Operating A Polishing Head And Method For Polishing A Substrate
App 20160136777 - SUEN; Shich-Chang ;   et al.
2016-05-19
Semiconductor device and method of forming vertical structure
Grant 9,318,447 - Peng , et al. April 19, 2
2016-04-19
Barc-assisted Process For Planar Recessing Or Removing Of Variable-height Layers
App 20160099157 - Liu; Wen-Kuei ;   et al.
2016-04-07
Polishing head, and chemical-mechanical polishing system for polishing substrate
Grant 9,272,386 - Suen , et al. March 1, 2
2016-03-01
Semiconductor Device And Method Of Forming Vertical Structure
App 20160020180 - PENG; CHIH-TANG ;   et al.
2016-01-21
Barc-assisted process for planar recessing or removing of variable-height layers
Grant 9,236,446 - Liu , et al. January 12, 2
2016-01-12
Interconnect structure for semiconductor devices
Grant 9,219,036 - Chang , et al. December 22, 2
2015-12-22
Method Of Forming Isolation Layer
App 20150364358 - TSAI; TENG-CHUN ;   et al.
2015-12-17
Barc-assisted Process For Planar Recessing Or Removing Of Variable-height Layers
App 20150263132 - Liu; Wen-Kuei ;   et al.
2015-09-17
Impurity doped UV protection layer
Grant 9,136,226 - Wu , et al. September 15, 2
2015-09-15
Interconnect Structure for Semiconductor Devices
App 20150214159 - Chang; Hui-Lin ;   et al.
2015-07-30
Systems And Methods For Performing Chemical Mechanical Planarization
App 20150194318 - LEE; SHEN-NAN ;   et al.
2015-07-09
Platen Assembly, Chemical-Mechanical Polisher, and Method for Polishing Substrate
App 20150133033 - Suen; Shich-Chang ;   et al.
2015-05-14
Polishing Head, Chemical-Mechanical Polishing System, and Method for Polishing Substrate
App 20150111477 - SUEN; Shich-Chang ;   et al.
2015-04-23
Interconnect structure for semiconductor devices
Grant 8,999,842 - Chang , et al. April 7, 2
2015-04-07
Methods for improving uniformity of cap layers
Grant 8,987,085 - Yu , et al. March 24, 2
2015-03-24
Interconnect Structure for Semiconductor Devices
App 20150017800 - Chang; Hui-Lin ;   et al.
2015-01-15
Interconnect structure for semiconductor devices
Grant 8,785,324 - Chang , et al. July 22, 2
2014-07-22
Interconnect Structure for Semiconductor Devices
App 20130102148 - Chang; Hui-Lin ;   et al.
2013-04-25
Interconnect structure for semiconductor devices
Grant 8,330,275 - Chang , et al. December 11, 2
2012-12-11
Integrated circuit device
Grant 8,324,731 - Yu , et al. December 4, 2
2012-12-04
Synergy effect of alloying materials in interconnect structures
Grant 8,264,046 - Chang , et al. September 11, 2
2012-09-11
Interconnect structure having a silicide/germanide cap layer
Grant 8,143,162 - Yu , et al. March 27, 2
2012-03-27
Interconnect Structure for Semiconductor Devices
App 20120049371 - Chang; Hui-Lin ;   et al.
2012-03-01
Semiconductor device including I/O oxide nitrided core oxide on substrate
Grant 8,084,328 - Wu , et al. December 27, 2
2011-12-27
Interconnect structure for semiconductor devices
Grant 8,053,356 - Chang , et al. November 8, 2
2011-11-08
Selective formation of boron-containing metal cap pre-layer
Grant 7,977,791 - Chang , et al. July 12, 2
2011-07-12
Semiconductor device including I/O oxide nitrided core oxide on substrate, and method of manufacture
App 20110081758 - Wu; Zhen-Cheng ;   et al.
2011-04-07
Method for enhancing adhesion between layers in BEOL fabrication
Grant 7,897,505 - Ko , et al. March 1, 2
2011-03-01
Interconnect Structure for Semiconductor Devices
App 20110027991 - Chang; Hui-Lin ;   et al.
2011-02-03
Self-aligned dielectric cap
Grant 7,863,196 - Chang , et al. January 4, 2
2011-01-04
Semiconductor device including I/O oxide and nitrided core oxide on substrate
Grant 7,834,405 - Wu , et al. November 16, 2
2010-11-16
Interconnect structure for semiconductor devices
Grant 7,834,458 - Chang , et al. November 16, 2
2010-11-16
Impurity Doped UV Protection Layer
App 20100213518 - Wu; Zhen-Cheng ;   et al.
2010-08-26
Impurity doped UV protection layer
Grant 7,732,923 - Wu , et al. June 8, 2
2010-06-08
Interconnects containing bilayer porous low-k dielectrics using different porogen to structure former ratio
Grant 7,723,226 - Yu , et al. May 25, 2
2010-05-25
Interconnect Structure for Semiconductor Devices
App 20100090343 - Chang; Hui-Lin ;   et al.
2010-04-15
Integrated circuit device
App 20100065969 - Yu; Chen-Hua ;   et al.
2010-03-18
Synergy Effect of Alloying Materials in Interconnect Structures
App 20100059893 - Chang; Hui-Lin ;   et al.
2010-03-11
Interconnect structures for semiconductor devices
Grant 7,655,556 - Chang , et al. February 2, 2
2010-02-02
Bond pads and methods for fabricating the same
Grant 7,646,097 - Yu , et al. January 12, 2
2010-01-12
Synergy effect of alloying materials in interconnect structures
Grant 7,642,189 - Chang , et al. January 5, 2
2010-01-05
Interconnects with harmonized stress and methods for fabricating the same
Grant 7,638,859 - Lu , et al. December 29, 2
2009-12-29
Method for modulating stresses of a contact etch stop layer
Grant 7,629,273 - Yu , et al. December 8, 2
2009-12-08
Interconnect Structure Having a Silicide/Germanide Cap Layer
App 20090275195 - Yu; Chen-Hua ;   et al.
2009-11-05
Plasma processes for depositing low dielectric constant films
Grant 7,560,377 - Cheung , et al. July 14, 2
2009-07-14
Synergy Effect of Alloying Materials in Interconnect Structures
App 20090152722 - Chang; Hui-Lin ;   et al.
2009-06-18
Selective Formation of Boron-Containing Metal Cap Pre-layer
App 20090014877 - Chang; Hui-Lin ;   et al.
2009-01-15
Method for improving a semiconductor device delamination resistance
Grant 7,456,093 - Chen , et al. November 25, 2
2008-11-25
Self-aligned Dielectric Cap
App 20080280449 - Chang; Huilin ;   et al.
2008-11-13
Metal structure with sidewall passivation and method
Grant 7,446,047 - Tsai , et al. November 4, 2
2008-11-04
Carbonization of metal caps
App 20080251928 - Chang; Hui-Lin ;   et al.
2008-10-16
Interconnect Structures for Semiconductor Devices
App 20080233745 - Chang; Hui-Lin ;   et al.
2008-09-25
Method for enhancing adhesion between layers
App 20080233765 - Ko; Chung-Chi ;   et al.
2008-09-25
Apparatus for wire bonding and integrated circuit chip package
App 20080197461 - Yu; Chen-Hua ;   et al.
2008-08-21
Glue layer for adhesion improvement between conductive line and etch stop layer in an integrated circuit chip
Grant 7,405,481 - Lin , et al. July 29, 2
2008-07-29
Interconnects containing bilayer porous low-k dielectrics using different porogen to structure former ratio
App 20080171431 - Yu; Chen-Hua ;   et al.
2008-07-17
Method for modulating stresses of a contact etch stop layer
App 20080085607 - Yu; Chen-Hua ;   et al.
2008-04-10
Methods for improving uniformity of cap layers
App 20080032472 - Yu; Chen-Hua ;   et al.
2008-02-07
Semiconductor device and fabrication method thereof
Grant 7,312,531 - Chang , et al. December 25, 2
2007-12-25
Formation and applications of nitrogen-free silicon carbide in semiconductor manufacturing
App 20070264843 - Li; Lih-Ping ;   et al.
2007-11-15
Method Of Fabricating An Interconnect Structure
App 20070249164 - Chen; Pi-Tsung ;   et al.
2007-10-25
Interconnect structure having a silicide/germanide cap layer
App 20070228571 - Yu; Chen-Hua ;   et al.
2007-10-04
Carbon and nitrogen based cap materials for metal hard mask scheme
App 20070205507 - Chang; Hui-Lin ;   et al.
2007-09-06
Copper damascene integration scheme for improved barrier layers
Grant 7,259,090 - Wu , et al. August 21, 2
2007-08-21
Method of fabricating semiconductor device
Grant 7,256,124 - Lin , et al. August 14, 2
2007-08-14
Copper interconnects
Grant 7,253,524 - Wu , et al. August 7, 2
2007-08-07
Semiconductor devices with composite etch stop layers and methods of fabrication thereof
Grant 7,250,364 - Lu , et al. July 31, 2
2007-07-31
Method for planarizing semiconductor structures
Grant 7,247,571 - Chen , et al. July 24, 2
2007-07-24
Integration film scheme for copper / low-k interconnect
Grant 7,244,673 - Huang , et al. July 17, 2
2007-07-17
WAT process to avoid wiring defects
Grant 7,220,677 - Li , et al. May 22, 2
2007-05-22
Post-ESL porogen burn-out for copper ELK integration
Grant 7,217,648 - Lu , et al. May 15, 2
2007-05-15
Semiconductor device and fabrication method thereof
App 20070096326 - Chang; Hui-Lin ;   et al.
2007-05-03
Bond pads and methods for fabricating the same
App 20070080460 - Yu; Chen-Hua ;   et al.
2007-04-12
Method for planarizing semiconductor structures
App 20070054494 - Chen; Ying-Tsung ;   et al.
2007-03-08
Semiconductor device including I/O oxide and nitrided core oxide on substrate, and method of manufacture
App 20070013009 - Wu; Zhen-Cheng ;   et al.
2007-01-18
Method of depositing low k films
Grant 7,160,821 - Huang , et al. January 9, 2
2007-01-09
Interconnects with harmonized stress and methods for fabricating the same
App 20060276027 - Lu; Yung-Cheng ;   et al.
2006-12-07
Method for forming a multi-layer low-K dual damascene
Grant 7,129,164 - Chang , et al. October 31, 2
2006-10-31
WAT process to avoid wiring defects
App 20060234403 - Li; Lin-Ping ;   et al.
2006-10-19
Heat sink and the method for making the same
App 20060232941 - Huang; Chi-Wei ;   et al.
2006-10-19
Semiconductor device and fabricating method thereof
App 20060226549 - Yu; Chen-Hua ;   et al.
2006-10-12
Method of fabricating semiconductor device
App 20060228856 - Lin; Keng-Chu ;   et al.
2006-10-12
Metal structure with sidewall passivation and method
App 20060189143 - Tsai; Minghsing ;   et al.
2006-08-24
Dual damascene method for ultra low K dielectrics
Grant 7,094,683 - Yeh , et al. August 22, 2
2006-08-22
Method of decreasing the k value in sioc layer deposited by chemical vapor deposition
Grant 7,074,708 - Gaillard , et al. July 11, 2
2006-07-11
Process for improving dielectric properties in low-k organosilicate dielectric material
Grant 7,074,727 - Hsu , et al. July 11, 2
2006-07-11
Impurity doped UV protection layer
App 20060145303 - Wu; Zhen-Cheng ;   et al.
2006-07-06
Integrated treatment method for obtaining robust low dielectric constant materials
Grant 7,071,093 - Liang , et al. July 4, 2
2006-07-04
Post-ESL porogen burn-out for copper ELK integration
App 20060134906 - Lu; Yung-Cheng ;   et al.
2006-06-22
Back end of line integration scheme
App 20060125102 - Wu; Zhen-Cheng ;   et al.
2006-06-15
Glue layer for adhesion improvement between conductive line and etch stop layer in an integrated circuit chip
App 20060118921 - Lin; Keng-Chu ;   et al.
2006-06-08
Methods and Structures to Produce a Strain-Inducing Layer in a Semiconductor Device
App 20060118892 - Wu; Zhen-Cheng ;   et al.
2006-06-08
Method of forming copper interconnects
Grant 7,056,826 - Wu , et al. June 6, 2
2006-06-06
Semiconductor devices with composite etch stop layers and methods of fabrication thereof
App 20060110912 - Lu; Yung-Cheng ;   et al.
2006-05-25
Method for forming a multi-layer low-K dual damascene
App 20060084279 - Chang; Hui Lin ;   et al.
2006-04-20
Metallization layers for crack prevention and reduced capacitance
App 20060027924 - Chen; Pi-Tsung ;   et al.
2006-02-09
Method for improving a semiconductor device delamination resistance
App 20060003572 - Chen; Pi-Tsung ;   et al.
2006-01-05
Method of depositing low k films
App 20050260864 - Huang, Tzu-Fang ;   et al.
2005-11-24
Copper damascene integration scheme for improved barrier layers
App 20050245071 - Wu, Zhen-Cheng ;   et al.
2005-11-03
Insulating layer having graded densification
Grant 6,958,524 - Li , et al. October 25, 2
2005-10-25
Integrated treatment method for obtaining robust low dielectric constant materials
App 20050215052 - Liang, Mong-Song ;   et al.
2005-09-29
Plasma processes for depositing low dielectric constant films
App 20050191846 - Cheung, David ;   et al.
2005-09-01
Plasma processes for depositing low dielectric constant films
Grant 6,930,061 - Cheung , et al. August 16, 2
2005-08-16
Low dielectric constant film produced from silicon compounds comprising silicon-carbon bonds
App 20050156317 - Yau, Wai-Fan ;   et al.
2005-07-21
Heterogeneous low k dielectric
App 20050140029 - Li, Lih-Ping ;   et al.
2005-06-30
Copper interconnects
App 20050110153 - Wu, Zhen-Cheng ;   et al.
2005-05-26
Integration film scheme for copper / low-k interconnect
App 20050098896 - Huang, Tai-Chun ;   et al.
2005-05-12
Insulating layer having graded densification
App 20050101119 - Li, Lih-Ping ;   et al.
2005-05-12
Plasma processes for depositing low dielectric constant films
Grant 6,869,896 - Cheung , et al. March 22, 2
2005-03-22
Method for ultra low-K dielectric deposition
App 20050048795 - Ko, Chung-Chi ;   et al.
2005-03-03
Dual damascene method for ultra low K dielectrics
App 20050032355 - Yeh, Chen-Nan ;   et al.
2005-02-10
Process for improving dielectric properties in low-k organosilicate dielectric material
App 20050010000 - Hsu, Peng-Fu ;   et al.
2005-01-13
Method of depositing low K films
Grant 6,806,207 - Huang , et al. October 19, 2
2004-10-19
Method of decreasing the K value in SIOC layer deposited by chemical vapor deposition
Grant 6,784,119 - Gaillard , et al. August 31, 2
2004-08-31
Method of decreasing the K value in SIOC layer deposited by chemical vapor deposition
App 20040166665 - Gaillard, Frederic ;   et al.
2004-08-26
Method of forming copper interconnects
App 20040130035 - Wu, Zhen-Cheng ;   et al.
2004-07-08
Method for forming a capping layer over a low-k dielectric with improved adhesion and reduced dielectric constant
Grant 6,756,321 - Ko , et al. June 29, 2
2004-06-29
Structure for improving interlevel conductor connections
Grant 6,753,607 - Wu , et al. June 22, 2
2004-06-22
Method of improving moisture resistance of low dielectric constant films
Grant 6,743,737 - Yau , et al. June 1, 2
2004-06-01
Plasma processes for depositing low dielectric constant films
Grant 6,734,115 - Cheung , et al. May 11, 2
2004-05-11
Plasma processes for depositing low dielectric constant films
App 20040082199 - Cheung, David ;   et al.
2004-04-29
Method for forming a capping layer over a low-k dielectric with improved adhesion and reduced dielectric constant
App 20040067658 - Ko, Chung-Chi ;   et al.
2004-04-08
Plasma processes for depositing low dielectric constant films
App 20040038545 - Cheung, David ;   et al.
2004-02-26
Method of decreasing the K value in SIOC layer deposited by chemical vapor deposition
App 20040029400 - Gaillard, Frederic ;   et al.
2004-02-12
Plasma processes for depositing low dielectric constant films
Grant 6,660,656 - Cheung , et al. December 9, 2
2003-12-09
Method of depositing low dielectric constant carbon doped silicon oxide
Grant 6,632,735 - Yau , et al. October 14, 2
2003-10-14
Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
Grant 6,627,532 - Gaillard , et al. September 30, 2
2003-09-30
Method of depositing low K films
App 20030162410 - Huang, Tzu-Fang ;   et al.
2003-08-28
Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
Grant 6,602,779 - Li , et al. August 5, 2
2003-08-05
Method for protecting sidewalls of etched openings to prevent via poisoning
Grant 6,602,780 - Shih , et al. August 5, 2
2003-08-05
Plasma processes for depositing low dielectric constant films
Grant 6,596,655 - Cheung , et al. July 22, 2
2003-07-22
Method of depositing low k films using an oxidizing plasma
Grant 6,593,247 - Huang , et al. July 15, 2
2003-07-15
Plasma processes for depositing low dielectric constant films
Grant 6,562,690 - Cheung , et al. May 13, 2
2003-05-13
Plasma processes for depositing low dielectric constant films
App 20030064610 - Cheung, David ;   et al.
2003-04-03
Plasma processes for depositing low dielectric constant films
Grant 6,541,282 - Cheung , et al. April 1, 2
2003-04-01
Method of improving moisture resistance of low dielectric constant films
App 20030054667 - Yau, Wai-Fan ;   et al.
2003-03-20
Method for protecting sidewalls of etched openings to prevent via poisoning
App 20030045124 - Shih, Tsu ;   et al.
2003-03-06
Method of depositing low dielectric constant carbon doped silicon oxide
App 20030032305 - Yau, Wai-Fan ;   et al.
2003-02-13
Method of improving moisture resistance of low dielectric constant films
Grant 6,448,187 - Yau , et al. September 10, 2
2002-09-10
Method for actuating network linking to internet from distant end
App 20020083180 - Lu, Yung-Cheng
2002-06-27
Plasma processes for depositing low dielectric constant films
App 20020045361 - Cheung, David ;   et al.
2002-04-18
Plasma processes for depositing low dielectric constant films
Grant 6,348,725 - Cheung , et al. February 19, 2
2002-02-19
Plasma processes for depositing low dielectric constant films
Grant 6,303,523 - Cheung , et al. October 16, 2
2001-10-16
Method of improving moisture resistance of low dielectric constant films
App 20010026849 - Yau, Wai-Fan ;   et al.
2001-10-04
Plasma Processes For Depositing Low Dielectric Constant Films
App 20010005546 - CHEUNG, DAVID ;   et al.
2001-06-28
Plasma Processes For Depositing Low Dielectric Constant Films
App 20010004479 - CHEUNG, DAVID ;   et al.
2001-06-21
Method of improving moisture resistance of low dielectric constant films
Grant 6,245,690 - Yau , et al. June 12, 2
2001-06-12

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed