loadpatents
name:-0.15534591674805
name:-0.13950204849243
name:-0.036221027374268
Liu; Hsien-Wen Patent Filings

Liu; Hsien-Wen

Patent Applications and Registrations

Patent applications and USPTO patent grants for Liu; Hsien-Wen.The latest application filed is for "multi-chip packages".

Company Profile
37.183.192
  • Liu; Hsien-Wen - Hsinchu TW
  • Liu; Hsien-Wen - Hsinchu City TW
  • Liu; Hsien-Wen - Taoyuan County TW
  • Liu; Hsien-Wen - Luzhu Township Taoyuan County TW
  • Liu; Hsien-Wen - Taoyuan TW
  • LIU; Hsien-Wen - Taoyuan City TW
  • Liu; Hsien-Wen - Hsin-Chu TW
  • Liu; Hsien-Wen - Taipei TW
  • Liu; Hsien-Wen - Taoyuan Hsien TW
  • Liu; Hsien-Wen - New Taipei TW
  • LIU; HSIEN-WEN - NEW TAIPEI CITY TW
  • Liu; Hsien-Wen - Kaohsiung TW
  • Liu; Hsien-Wen - Kaohsiung City TW
  • Liu; Hsien-Wen - Keelung N/A TW
  • Liu; Hsien Wen - Luzhu Township, Taoyuan County N/A TW
  • LIU; Hsien-Wen - Keelung City TW
  • LIU; HSIEN-WEN - Taoyuan County 338 TW
  • Liu; Hsien-Wen - Taichung TW
  • Liu; Hsien-Wen - Taichung City TW
  • Liu; Hsien-Wen - Taipei City TW
  • Liu; Hsien-Wen - Tainan TW
  • Liu; Hsien-Wen - Taiwan TW
  • Liu, Hsien-Wen - Ching-Shui Li TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Semiconductor package with dual sides of metal routing
Grant 11,456,257 - Jeng , et al. September 27, 2
2022-09-27
Structure and formation method of package structure with fan-out structure
Grant 11,430,739 - Tsai , et al. August 30, 2
2022-08-30
Multi-chip Packages
App 20220246579 - Chen; Shuo-Mao ;   et al.
2022-08-04
Multi-chip wafer level packages
Grant 11,342,306 - Chen , et al. May 24, 2
2022-05-24
Structure and formation method for chip package
Grant 11,329,031 - Hung , et al. May 10, 2
2022-05-10
Integrated Circuit Test Method And Structure Thereof
App 20220028748 - Liu; Hsien-Wen ;   et al.
2022-01-27
Methods of forming multi-chip wafer level packages
Grant 11,189,596 - Chen , et al. November 30, 2
2021-11-30
Integrated Fan-out Package, Package-on-package Structure, And Manufacturing Method Thereof
App 20210358824 - Jeng; Shin-Puu ;   et al.
2021-11-18
Integrated Circuit Structure and Method for Reducing Polymer Layer Delamination
App 20210351173 - Lin; Jing-Cheng ;   et al.
2021-11-11
Package Structure
App 20210335728 - LEE; Hsiao-Wen ;   et al.
2021-10-28
Wafer level mold chase
Grant 11,114,313 - Liu , et al. September 7, 2
2021-09-07
Integrated circuit structure and method for reducing polymer layer delamination
Grant 11,081,475 - Lin , et al. August 3, 2
2021-08-03
Integrated fan-out package, package-on-package structure, and manufacturing method thereof
Grant 11,075,132 - Jeng , et al. July 27, 2
2021-07-27
Package structure with buffer layer sandwiched between encapsulation layer and semiconductor substrate
Grant 11,056,445 - Lee , et al. July 6, 2
2021-07-06
Integrated fan-out packages
Grant 10,879,162 - Jeng , et al. December 29, 2
2020-12-29
Multi-chip Wafer Level Packages
App 20200395335 - Chen; Shuo-Mao ;   et al.
2020-12-17
Semiconductor package with redistribution structure and pre-made substrate on opposing sides for dual-side metal routing
Grant 10,867,924 - Jeng , et al. December 15, 2
2020-12-15
Wafer level package (WLP) and method for forming the same
Grant 10,861,801 - Jeng , et al. December 8, 2
2020-12-08
Structure and Formation Method for Chip Package
App 20200381407 - Hung; Jui-Pin ;   et al.
2020-12-03
Wafer Level Mold Chase
App 20200365421 - LIU; Hsien-Wen ;   et al.
2020-11-19
Methods Of Forming Multi-chip Wafer Level Packages
App 20200343220 - Chen; Shuo-Mao ;   et al.
2020-10-29
Multi-chip wafer level packages and methods of forming the same
Grant 10,763,239 - Chen , et al. Sep
2020-09-01
Structure and formation method for chip package
Grant 10,748,882 - Hung , et al. A
2020-08-18
Package Structure
App 20200135661 - LEE; Hsiao-Wen ;   et al.
2020-04-30
Structure And Formation Method Of Package Structure With Fan-out Structure
App 20200135653 - TSAI; Po-Hao ;   et al.
2020-04-30
Wafer Level Package (WLP) and Method for Forming the Same
App 20200098705 - Jeng; Shin-Puu ;   et al.
2020-03-26
Integrated Fan-out Packages And Methods Of Forming The Same
App 20200013707 - Jeng; Shin-Puu ;   et al.
2020-01-09
Package structure and method for forming the same
Grant 10,529,671 - Lee , et al. J
2020-01-07
Semiconductor Package With Dual Sides Of Metal Routing
App 20200006234 - Jeng; Shin-Puu ;   et al.
2020-01-02
Wafer level package (WLP) and method for forming the same
Grant 10,510,690 - Jeng , et al. Dec
2019-12-17
Hybrid memory system and method of operating the same
Grant 10,437,499 - Lee , et al. O
2019-10-08
DRAM and method of operating the same in an hierarchical memory system
Grant 10,380,024 - Lee , et al. A
2019-08-13
Using metal-containing layer to reduce carrier shock in package formation
Grant 10,354,988 - Jeng , et al. July 16, 2
2019-07-16
DRAM and method for determining binary logic using a test voltage level
Grant 10,354,713 - Lee , et al. July 16, 2
2019-07-16
Integrated fan-out packages
Grant 10,347,574 - Jeng , et al. July 9, 2
2019-07-09
System and method for preserving data in volatile memory
Grant 10,338,831 - Lee , et al.
2019-07-02
Hybrid Memory System And Method Of Operating The Same
App 20190196733 - LEE; CHUNG-HSUN ;   et al.
2019-06-27
Dynamic Random Access Memory And Method Of Operating The Same
App 20190196726 - LEE; CHUNG-HSUN ;   et al.
2019-06-27
Dynamic Random Access Memory And Method Of Operating The Same
App 20190196902 - LEE; CHUNG-HSUN ;   et al.
2019-06-27
DRAM and method for determining binary logic using a test voltage level
Grant 10,332,580 - Lee , et al.
2019-06-25
DRAM and method for operating the same
Grant 10,332,579 - Lee , et al.
2019-06-25
Dram And Method Of Operating The Same
App 20190171572 - LEE; CHUNG-HSUN ;   et al.
2019-06-06
Dram And Method For Operating The Same
App 20190164589 - Lee; Chung-Hsun ;   et al.
2019-05-30
Memory device and operating method thereof
Grant 10,297,304 - Lee , et al.
2019-05-21
Memory Device And Operating Method Thereof
App 20190147936 - LEE; Chung-Hsun ;   et al.
2019-05-16
Stacked semiconductor device and method of manufacturing the same
Grant 10,290,590 - Jeng , et al.
2019-05-14
Fan-out package structure and method for forming the same
Grant 10,290,605 - Jeng , et al.
2019-05-14
Multi-chip Wafer Level Packages And Methods Of Forming The Same
App 20190131273 - Chen; Shuo-Mao ;   et al.
2019-05-02
DRAM and method of operating the same
Grant 10,276,228 - Lee , et al.
2019-04-30
Memory Device And Operating Method Thereof
App 20190122747 - LEE; Chung-Hsun ;   et al.
2019-04-25
Memory device and operating method thereof
Grant 10,269,445 - Lee , et al.
2019-04-23
Dram And Method For Determining Binary Logic Using A Test Voltage Level
App 20190115067 - LEE; Chung-Hsun ;   et al.
2019-04-18
Dram And Method For Determining Binary Logic Using A Test Voltage Level
App 20190115068 - LEE; Chung-Hsun ;   et al.
2019-04-18
DRAM and refresh method thereof
Grant 10,262,719 - Lee , et al.
2019-04-16
Integrated Fan-out Packages And Methods Of Forming The Same
App 20190096791 - Jeng; Shin-Puu ;   et al.
2019-03-28
DRAM memory device adjustable refresh rate method to alleviate effects of row hammer events
Grant 10,236,035 - Lee , et al.
2019-03-19
Integrated Fan-out Package, Package-on-package Structure, And Manufacturing Method Thereof
App 20190067144 - Jeng; Shin-Puu ;   et al.
2019-02-28
System And Method For Preserving Data In Volatile Memory
App 20190065079 - LEE; Chung-Hsun ;   et al.
2019-02-28
Dram And Method For Operating The Same
App 20190066760 - LEE; Chung-Hsun ;   et al.
2019-02-28
Dram And Method For Operating The Same
App 20190066765 - LEE; Chung-Hsun ;   et al.
2019-02-28
System And Method For Preserving Data In Volatile Memory
App 20190056874 - LEE; Chung-Hsun ;   et al.
2019-02-21
Using Metal-Containing Layer to Reduce Carrier Shock in Package Formation
App 20190027469 - Jeng; Shin-Puu ;   et al.
2019-01-24
Semiconductor Package With Dual Sides Of Metal Routing
App 20190013273 - Jeng; Shin-Puu ;   et al.
2019-01-10
Fan-out Package Structure And Method For Forming The Same
App 20190006314 - Jeng; Shin-Puu ;   et al.
2019-01-03
Structure and Formation Method for Chip Package
App 20190006332 - Hung; Jui-Pin ;   et al.
2019-01-03
Wafer Level Package (WLP) and Method for Forming the Same
App 20180374801 - Jeng; Shin-Puu ;   et al.
2018-12-27
DRAM and method for managing power thereof
Grant 10,141,043 - Lee , et al. Nov
2018-11-27
DRAM and method for operating the same
Grant 10,127,967 - Lee , et al. November 13, 2
2018-11-13
Using metal-containing layer to reduce carrier shock in package formation
Grant 10,083,949 - Jeng , et al. September 25, 2
2018-09-25
Structure and formation method for chip package
Grant 10,074,637 - Hung , et al. September 11, 2
2018-09-11
Wafer level package (WLP) and method for forming the same
Grant 10,074,617 - Jeng , et al. September 11, 2
2018-09-11
DRAM and method for managing power thereof
Grant 10,049,714 - Lee , et al. August 14, 2
2018-08-14
Dram and method for accessing a dram
Grant 10,019,350 - Lee , et al. July 10, 2
2018-07-10
Package Structure And Method For Forming The Same
App 20180166396 - LEE; Hsiao-Wen ;   et al.
2018-06-14
Stacked Semiconductor Device And Method Of Manufacturing The Same
App 20180151512 - JENG; SHIN-PUU ;   et al.
2018-05-31
Molding chamber apparatus and curing method
Grant 9,950,450 - Lin , et al. April 24, 2
2018-04-24
Using Metal-containing Layer To Reduce Carrier Shock In Package Formation
App 20180033782 - Jeng; Shin-Puu ;   et al.
2018-02-01
Integrated fan-out package on package structure and methods of forming same
Grant 9,881,908 - Lin , et al. January 30, 2
2018-01-30
Integrated Fan-Out Package on Package Structure and Methods of Forming Same
App 20170207204 - Lin; Wen-Yi ;   et al.
2017-07-20
Structure and Formation Method for Chip Package
App 20170186736 - Hung; Jui-Pin ;   et al.
2017-06-29
Integrated Circuit Structure and Method for Reducing Polymer Layer Delamination
App 20170170161 - Lin; Jing-Cheng ;   et al.
2017-06-15
Methods for molding integrated circuits
Grant 9,662,812 - Chen , et al. May 30, 2
2017-05-30
Wafer Level Package (WLP) and Method for Forming the Same
App 20170092597 - Jeng; Shin-Puu ;   et al.
2017-03-30
Structure and formation method for chip package
Grant 9,595,510 - Hung , et al. March 14, 2
2017-03-14
Integrated circuit structure and method for reducing polymer layer delamination
Grant 9,583,424 - Lin , et al. February 28, 2
2017-02-28
Patch antenna and wireless communication device using the same
Grant 9,531,056 - Liu , et al. December 27, 2
2016-12-27
Wafer level package (WLP) and method for forming the same
Grant 9,520,372 - Jeng , et al. December 13, 2
2016-12-13
Multi-antenna structure
Grant 9,300,034 - Chen , et al. March 29, 2
2016-03-29
Method for forming crack stop structure
Grant 9,287,221 - Huang , et al. March 15, 2
2016-03-15
Near field antenna for object detecting device
Grant 9,214,987 - Yang , et al. December 15, 2
2015-12-15
Near Field Antenna For Object Detecting Device
App 20150333804 - YANG; CHENG-MIN ;   et al.
2015-11-19
Bookcase system
Grant 9,144,304 - Liu , et al. September 29, 2
2015-09-29
Patch Antenna And Wireless Communication Device Using The Same
App 20150244060 - Liu; Hsien-Wen ;   et al.
2015-08-27
Antenna system for mobile communication and antenna module thereof
Grant 9,105,985 - Liu , et al. August 11, 2
2015-08-11
Method for forming trench MOS structure
Grant 9,093,471 - Kuo , et al. July 28, 2
2015-07-28
Method For Forming Crack Stop Structure
App 20150194390 - Huang; Tse-Yao ;   et al.
2015-07-09
Vertical MOSFET electrostatic discharge device
Grant 9,054,131 - Jang , et al. June 9, 2
2015-06-09
Motor with rotor positioning component
Grant 9,024,506 - Chen , et al. May 5, 2
2015-05-05
Motor with rotor positioning component
Grant 9,024,497 - Chen , et al. May 5, 2
2015-05-05
Process of forming slit in substrate
Grant 8,975,137 - Wang , et al. March 10, 2
2015-03-10
Method For Forming Trench Mos Structure
App 20150064893 - Kuo; Chin-Te ;   et al.
2015-03-05
Crack stop structure and method for forming the same
Grant 8,963,282 - Huang , et al. February 24, 2
2015-02-24
Molding Chamber Apparatus and Curing Method
App 20150050783 - Lin; Jing-Cheng ;   et al.
2015-02-19
Antenna System For Mobile Communication And Antenna Module Thereof
App 20150035708 - LIU; HSIEN-WEN ;   et al.
2015-02-05
Multi-antenna Structure
App 20150009092 - CHEN; Chun-Hua ;   et al.
2015-01-08
Wafer scrubber
Grant 8,916,003 - Jang , et al. December 23, 2
2014-12-23
Trench MOS structure and method for forming the same
Grant 8,912,595 - Kuo , et al. December 16, 2
2014-12-16
Integrated Circuit Structure and Method for Reducing Polymer Layer Delamination
App 20140346665 - Lin; Jing-Cheng ;   et al.
2014-11-27
Memory device having buried bit line and vertical transistor and fabrication method thereof
Grant 8,865,550 - Wu , et al. October 21, 2
2014-10-21
Crack stop structure and method for forming the same
Grant 8,828,842 - Huang , et al. September 9, 2
2014-09-09
MOS test structure, method for forming MOS test structure and method for performing wafer acceptance test
Grant 8,816,715 - Kuo , et al. August 26, 2
2014-08-26
Recognition system having periodic guided-wave structure
Grant 8,807,429 - Liu August 19, 2
2014-08-19
Motor With Rotor Positioning Component
App 20140210319 - Chen; Chien-Jung ;   et al.
2014-07-31
Memory Device Having Buried Bit Line And Vertical Transistor And Fabrication Method Thereof
App 20140213027 - Wu; Tieh-Chiang ;   et al.
2014-07-31
Motor With Rotor Positioning Component
App 20140210295 - Chen; Chien-Jung ;   et al.
2014-07-31
Fabricating method of transistor
Grant 8,772,119 - Su , et al. July 8, 2
2014-07-08
Memory device having buried bit line and vertical transistor and fabrication method thereof
Grant 8,759,907 - Wu , et al. June 24, 2
2014-06-24
Method of forming gate conductor structures
Grant 8,758,984 - Wu , et al. June 24, 2
2014-06-24
Crack Stop Structure And Method For Forming The Same
App 20140154864 - Huang; Tse-Yao ;   et al.
2014-06-05
Chemical mechanical polishing system
Grant 8,739,806 - Liu , et al. June 3, 2
2014-06-03
Recessed gate transistor with cylindrical fins
Grant 8,723,261 - Wu , et al. May 13, 2
2014-05-13
High-order harmonic device of cavity filter
Grant 8,710,941 - Lee , et al. April 29, 2
2014-04-29
Cavity filter having surge suppress means
Grant 8,704,614 - Liu , et al. April 22, 2
2014-04-22
Slit recess channel gate
Grant 8,698,235 - Wu , et al. April 15, 2
2014-04-15
Trench MOS structure and method for making the same
Grant 8,692,318 - Kuo , et al. April 8, 2
2014-04-08
Crack stop structure and method for forming the same
Grant 8,692,245 - Huang , et al. April 8, 2
2014-04-08
Antenna structure
Grant 8,692,723 - Liu April 8, 2
2014-04-08
Chemical mechanical polishing system
Grant 8,662,963 - Liu , et al. March 4, 2
2014-03-04
Method for forming openings in semiconductor device
Grant 8,642,479 - Lin , et al. February 4, 2
2014-02-04
Methods for de-bonding carriers
Grant 8,629,043 - Wang , et al. January 14, 2
2014-01-14
Motor stator
Grant 8,624,461 - Chen , et al. January 7, 2
2014-01-07
Method of gate work function adjustment and metal gate transistor
Grant 8,614,467 - Wu , et al. December 24, 2
2013-12-24
Recognition System Having Periodic Guided-wave Structure
App 20130334310 - LIU; HSIEN-WEN
2013-12-19
Circuit structure with conductive and depletion regions to form tunable capacitors and resistors
Grant 8,604,542 - Jang , et al. December 10, 2
2013-12-10
Method for forming fin-shaped semiconductor structure
Grant 8,592,320 - Lin , et al. November 26, 2
2013-11-26
Slit Recess Channel Gate
App 20130307067 - Wu; Tieh-Chiang ;   et al.
2013-11-21
Bookcase System
App 20130292347 - LIU; HSIEN-WEN ;   et al.
2013-11-07
Method of bevel trimming three dimensional semiconductor device
Grant 8,551,881 - Shih , et al. October 8, 2
2013-10-08
Semiconductor process
Grant 8,546,234 - Wang , et al. October 1, 2
2013-10-01
Distance monitoring device
Grant 8,545,289 - Liao , et al. October 1, 2
2013-10-01
Method of forming conductive pattern
Grant 8,536,056 - Su , et al. September 17, 2
2013-09-17
Method of forming a slit recess channel gate
Grant 8,530,306 - Wu , et al. September 10, 2
2013-09-10
Post-optical proximity correction photoresist pattern collapse rule
Grant 8,533,638 - Fu , et al. September 10, 2
2013-09-10
Transistor with buried fins
Grant 8,525,262 - Wu , et al. September 3, 2
2013-09-03
Methods for Molding Integrated Circuits
App 20130207306 - Chen; Chih-Hao ;   et al.
2013-08-15
Monitoring pattern, and pattern stitch monitoring method and wafer therewith
Grant 8,497,568 - Fu , et al. July 30, 2
2013-07-30
Method for forming self-aligned contact
Grant 8,487,397 - Ho , et al. July 16, 2
2013-07-16
Method for manufacturing memory device
Grant 8,486,834 - Hsu , et al. July 16, 2
2013-07-16
Circuit structure with vertical double gate
Grant 8,476,704 - Jang , et al. July 2, 2
2013-07-02
Bonding pad structure for semiconductor devices
Grant 8,476,764 - Huang , et al. July 2, 2
2013-07-02
Method of forming an etch mask
Grant 8,470,515 - Huang , et al. June 25, 2
2013-06-25
Post-CMP wafer cleaning apparatus
Grant 8,458,842 - Liu , et al. June 11, 2
2013-06-11
Antenna Structure
App 20130141301 - LIU; HSIEN-WEN
2013-06-06
Vertical transistor for random-access memory and manufacturing method thereof
Grant 8,455,319 - Lee , et al. June 4, 2
2013-06-04
Methods for De-Bonding Carriers
App 20130122689 - Wang; Chung Yu ;   et al.
2013-05-16
Memory layout structure and memory structure
Grant 8,431,933 - Lee , et al. April 30, 2
2013-04-30
Vertical Mosfet Electrostatic Discharge Device
App 20130099309 - Jang; Jeng-Hsing ;   et al.
2013-04-25
Method For Fabricating Single-sided Buried Strap In A Semiconductor Device
App 20130102123 - Tsai; Tzu-Ching ;   et al.
2013-04-25
Method for fabricating a gate dielectric layer and for fabricating a gate structure
Grant 8,420,477 - Su , et al. April 16, 2
2013-04-16
Plasma etching method and plasma etching apparatus for preparing high-aspect-ratio structures
Grant 8,420,545 - Wu , et al. April 16, 2
2013-04-16
Method for increasing adhesion between polysilazane and silicon nitride
Grant 8,420,541 - Shih , et al. April 16, 2
2013-04-16
Molding Chamber Apparatus and Curing Method
App 20130087951 - Lin; Jing-Cheng ;   et al.
2013-04-11
Power device with trenched gate structure and method of fabricating the same
Grant 8,415,729 - Wu , et al. April 9, 2
2013-04-09
Motor stator
Grant 8,415,852 - Chen , et al. April 9, 2
2013-04-09
Capacitor and manufacturing method thereof
Grant 8,410,535 - Su , et al. April 2, 2
2013-04-02
Method For Forming Dope Regions With Rapid Thermal Process
App 20130078774 - Jang; Jeng-Hsing ;   et al.
2013-03-28
Method For Fabricating Integrated Devices With Reducted Plasma Damage
App 20130078804 - JANG; Jeng-Hsing ;   et al.
2013-03-28
Wafer Scrubber
App 20130074878 - Jang; Jeng-Hsing ;   et al.
2013-03-28
Method For Forming Semiconductor Structure With Reduced Line Edge Roughness
App 20130078815 - Wu; Chang-Ming ;   et al.
2013-03-28
Wafer Scrubber Apparatus
App 20130068264 - Jang; Jeng-Hsing ;   et al.
2013-03-21
Bonding Pad Structure For Semiconductor Devices
App 20130069235 - Huang; Tse-Yao ;   et al.
2013-03-21
Fabricating Method Of Transistor
App 20130071978 - Su; Kuo-Hui ;   et al.
2013-03-21
Semiconductor Process
App 20130071992 - Su; Kuo-Hui ;   et al.
2013-03-21
Method Of Forming An Etch Mask
App 20130071790 - Huang; Tse-Yao ;   et al.
2013-03-21
Method for manufacturing memory device
Grant 8,399,321 - Hsu , et al. March 19, 2
2013-03-19
Crack Stop Structure And Method For Forming The Same
App 20130062727 - Huang; Tse-Yao ;   et al.
2013-03-14
Method for obtaining a layout design for an existing integrated circuit
Grant 8,394,721 - Hsieh , et al. March 12, 2
2013-03-12
Method for via formation in a semiconductor device
Grant 8,389,402 - Lin , et al. March 5, 2
2013-03-05
Method Of Forming Conductive Pattern
App 20130052820 - Su; Kuo-Hui ;   et al.
2013-02-28
Circuit Structure with Resistors or Capacitors
App 20130049846 - Jang; Jeng Hsing ;   et al.
2013-02-28
Circuit Structure with Vertical Double Gate
App 20130043529 - Jang; Jeng Hsing ;   et al.
2013-02-21
Crack Stop Structure And Method For Forming The Same
App 20130043470 - Huang; Tse-Yao ;   et al.
2013-02-21
Method For Forming Fin-shaped Semiconductor Structure
App 20130045600 - Lin; Chih-Ching ;   et al.
2013-02-21
Method of reducing microloading effect
Grant 8,377,632 - Lee , et al. February 19, 2
2013-02-19
Method Of Fan Speed Control
App 20130038264 - CHEN; Chien-Jung ;   et al.
2013-02-14
Self-aligned method for forming contact of device with reduced step height
Grant 8,367,509 - Jang , et al. February 5, 2
2013-02-05
Method For Forming Openings In Semiconductor Device
App 20130017687 - Lin; Chih-Ching ;   et al.
2013-01-17
Process Of Forming Slit In Substrate
App 20130017684 - Wang; Wen-Chieh ;   et al.
2013-01-17
Method of inspecting memory cell
Grant 8,335,119 - Tsai , et al. December 18, 2
2012-12-18
Semiconductor Process
App 20120309155 - Wang; Wen-Chieh ;   et al.
2012-12-06
Method Of Reducing Striation On A Sidewall Of A Recess
App 20120305525 - Lee; Hsiu-Chun ;   et al.
2012-12-06
Semiconductor Process
App 20120309192 - Wang; Wen-Chieh ;   et al.
2012-12-06
Method For Manufacturing Memory Device
App 20120302060 - Hsu; Ping ;   et al.
2012-11-29
Plasma Etching Method And Plasma Etching Apparatus For Preparing High-aspect-ratio Structures
App 20120302031 - Wu; Chang Ming ;   et al.
2012-11-29
Method For Via Formation In A Semiconductor Device
App 20120302062 - Lin; Chih Ching ;   et al.
2012-11-29
Pulse-plasma Etching Method And Pulse-plasma Etching Apparatus
App 20120302065 - Lin; Chih Ching ;   et al.
2012-11-29
Method And System For Performing Pulse-etching In A Semiconductor Device
App 20120302070 - Lin; Chih Ching ;   et al.
2012-11-29
Method Of Fabricating A Deep Trench Device
App 20120302030 - Lee; Hsiu-Chun ;   et al.
2012-11-29
Test Layout Structure
App 20120298992 - Kuo; Chin-Te ;   et al.
2012-11-29
Slit Recess Channel Gate and Method of Forming the Same
App 20120299185 - Wu; Tieh-Chiang ;   et al.
2012-11-29
Cavity Filter Having Surge Suppress Means
App 20120299668 - LIU; Hsien-Wen ;   et al.
2012-11-29
Method Of Reducing Microloading Effect
App 20120301833 - Lee; Hsiu-Chun ;   et al.
2012-11-29
Method For Implanting Wafer
App 20120302049 - Hsu; Ping ;   et al.
2012-11-29
Test Key Structure For Monitoring Gate Conductor To Deep Trench Misalignment And Testing Method Thereof
App 20120293196 - Hsu; Ping ;   et al.
2012-11-22
Method For Manufacturing Memory Device
App 20120295408 - Hsu; Ping ;   et al.
2012-11-22
Post-cmp Wafer Cleaning Apparatus
App 20120284936 - Liu; Li-Chung ;   et al.
2012-11-15
Method For Cleaning A Semiconductor Wafer
App 20120285484 - Liu; Li-Chung ;   et al.
2012-11-15
Method Of Etching Trenches In A Semiconductor Substrate Utilizing Pulsed And Fluorocarbon-free Plasma
App 20120289050 - Wu; Chang-Ming ;   et al.
2012-11-15
Optical Lens And Optical Microscope System Using The Same
App 20120287500 - Hsieh; Ming-Teng ;   et al.
2012-11-15
Method For Decapsulating Integrated Circuit Package
App 20120288966 - Hsieh; Ming-Teng ;   et al.
2012-11-15
Bump Structure And Fabrication Method Thereof
App 20120288684 - Hsieh; Ming-Teng ;   et al.
2012-11-15
Trench Mos Structure And Method For Forming The Same
App 20120286353 - Kuo; Chin-Te ;   et al.
2012-11-15
Mos Test Structure, Method For Forming Mos Test Structure And Method For Performing Wafer Acceptance Test
App 20120286819 - Kuo; Chin-Te ;   et al.
2012-11-15
Protuberant Structure And Method For Making The Same
App 20120286402 - Kuo; Chin-Te ;   et al.
2012-11-15
Trench Mos Structure And Method For Making The Same
App 20120286352 - Kuo; Chin-Te ;   et al.
2012-11-15
Method for storing wafers
App 20120288355 - Hsieh; Ming-Teng ;   et al.
2012-11-15
Method For Processing Circuit In Package
App 20120288967 - Hsieh; Ming-Teng ;   et al.
2012-11-15
Method for obtaining a layout design for an existing integrated circuit
App 20120289048 - Hsieh; Ming-Teng ;   et al.
2012-11-15
Cmp Slurry Mix And Delivery System
App 20120289134 - Liu; Li-Chung ;   et al.
2012-11-15
Chemical Mechanical Polishing System
App 20120289133 - Liu; Li-Chung ;   et al.
2012-11-15
Chemical Mechanical Polishing System
App 20120289128 - Liu; Li-Chung ;   et al.
2012-11-15
Method for repairing a semiconductor structure having a current-leakage issue
App 20120288968 - Hsieh; Ming-Teng ;   et al.
2012-11-15
Method Of Forming Gate Conductor Structures
App 20120288802 - Wu; Chang-Ming ;   et al.
2012-11-15
Protuberant Structure And Method For Making The Same
App 20120288683 - Kuo; Chin-Te ;   et al.
2012-11-15
Method Of Cleaning A Wafer
App 20120285483 - Liu; Li-Chung ;   et al.
2012-11-15
Cmp Apparatus And Method
App 20120289131 - Liu; Li-Chung ;   et al.
2012-11-15
Semiconductor process
Grant 8,309,459 - Wang , et al. November 13, 2
2012-11-13
Method For Increasing Adhesion Between Polysilazane And Silicon Nitride
App 20120282777 - SHIH; Shing-Yih ;   et al.
2012-11-08
Method For Forming Trench Isolation
App 20120276707 - Shih; Shing-Yih ;   et al.
2012-11-01
Methods For Fabricating A Gate Dielectric Layer And For Fabricating A Gate Structure
App 20120276730 - Su; Kuo Hui ;   et al.
2012-11-01
Method For Fabricating A Gate Dielectric Layer And For Fabricating A Gate Structure
App 20120276731 - Su; Kuo Hui ;   et al.
2012-11-01
Integrated Circuit Structure Including Copper-aluminum Interconnect And Method For Fabricating The Same
App 20120273950 - Su; Kuo Hui ;   et al.
2012-11-01
Integrated Circuit Structure Including A Copper-aluminum Interconnect And Method For Fabricating The Same
App 20120273948 - Su; Kuo Hui ;   et al.
2012-11-01
Memory Device Having Buried Bit Line And Vertical Transistor And Fabrication Method Thereof
App 20120273874 - Wu; Tieh-Chiang ;   et al.
2012-11-01
Method Of Oxidizing Polysilazane
App 20120276714 - Shih; Shing-Yih ;   et al.
2012-11-01
Method for forming conductive contact
Grant 8,298,939 - Ho , et al. October 30, 2
2012-10-30
Method Of Bevel Trimming Three Dimensional Semiconductor Device
App 20120270394 - Shih; Shing-Yih ;   et al.
2012-10-25
Manufacturing Method Of Gate Dielectric Layer
App 20120270411 - Su; Kuo-Hui ;   et al.
2012-10-25
Manufacturing Method Of Gate Dielectric Layer
App 20120270408 - Su; Kuo-Hui ;   et al.
2012-10-25
Polishing Pad Wear Detecting Apparatus
App 20120270474 - Liao; Chien-Mao ;   et al.
2012-10-25
Method For Forming Self-aligned Contact
App 20120267727 - Ho; Jar-Ming ;   et al.
2012-10-25
Capacitor And Manufacturing Method Thereof
App 20120267760 - Su; Kuo-Hui ;   et al.
2012-10-25
Membrane
App 20120264359 - Liao; Chien-Mao ;   et al.
2012-10-18
Distance Monitoring Device
App 20120264354 - Liao; Chien-Mao ;   et al.
2012-10-18
Method Of Fabricating Semiconductor Component
App 20120264300 - Liao; Chien-Mao ;   et al.
2012-10-18
Chemical Mechanical Polishing Method
App 20120264299 - Liao; Chien-Mao ;   et al.
2012-10-18
Method for forming conductive contact
Grant 8,288,279 - Ho , et al. October 16, 2
2012-10-16
Method For Matching Assistant Feature Tools
App 20120259445 - Fu; Kuo Kuei ;   et al.
2012-10-11
Power Device With Trenched Gate Structure And Method Of Fabricating The Same
App 20120256230 - Wu; Tieh-Chiang ;   et al.
2012-10-11
Recessed Gate Transistor With Cylindrical Fins
App 20120256256 - Wu; Tieh-Chiang ;   et al.
2012-10-11
Recessed Trench Gate Structure And Method Of Fabricating The Same
App 20120256255 - Wu; Tieh-Chiang ;   et al.
2012-10-11
Monitoring Pattern, And Pattern Stitch Monitoring Method And Wafer Therewith
App 20120256298 - Fu; Kuo Kuei ;   et al.
2012-10-11
Transistor With Buried Fins
App 20120256257 - Wu; Tieh-Chiang ;   et al.
2012-10-11
Model Of Defining A Photoresist Pattern Collapse Rule, And Photomask Layout, Semiconductor Substrate And Method For Improving Photoresist Pattern Collapse
App 20120258386 - Fu; Kuo Kuei ;   et al.
2012-10-11
Method Of Gate Work Function Adjustment And Metal Gate Transistor
App 20120256279 - Wu; Tieh-Chiang ;   et al.
2012-10-11
Semiconductor structure
Grant 8,283,709 - Lee , et al. October 9, 2
2012-10-09
Antifuse element for integrated circuit device
Grant 8,278,732 - Ho , et al. October 2, 2
2012-10-02
Method of forming a trench by a silicon-containing mask
Grant 8,252,684 - Lee , et al. August 28, 2
2012-08-28
High-order Harmonic Device Of Cavity Filter
App 20120200374 - LEE; Chien-Chih ;   et al.
2012-08-09
Vertical Transistor For Random-access Memory And Manufacturing Method Thereof
App 20120193706 - LEE; TZUNG HAN ;   et al.
2012-08-02
Motor Stator
App 20120119607 - CHEN; Chien-Jung ;   et al.
2012-05-17
Motor Stator
App 20120119608 - CHEN; Chien-Jung ;   et al.
2012-05-17
Motor Stator And Assembling Method Thereof
App 20120119606 - CHEN; Chien-Jung ;   et al.
2012-05-17
Method for forming a recess array device structure in a semiconductor substrate
Grant 8,178,440 - Wu , et al. May 15, 2
2012-05-15
Method for fabricating intra-device isolation structure
Grant 8,178,418 - Ho , et al. May 15, 2
2012-05-15
Memory layout structure and memory structure
App 20120012907 - Lee; Tzung-Han ;   et al.
2012-01-19
Semiconductor Device And Manufacturing Method Thereof
App 20120012905 - LEE; TZUNG HAN ;   et al.
2012-01-19
Cell With Surrounding Word Line Structures And Manufacturing Method Thereof
App 20110260230 - LEE; TZUNG HAN ;   et al.
2011-10-27
Semiconductor structure and method of making the same
Grant 7,982,315 - Chen , et al. July 19, 2
2011-07-19
Semiconductor Structure And Method Of Making The Same
App 20090256264 - Chen; Yinan ;   et al.
2009-10-15
Cooling fan
Grant 7,492,070 - Chen , et al. February 17, 2
2009-02-17
Cooling fan
Grant 7,443,065 - Chen , et al. October 28, 2
2008-10-28
Nonvolatile memory solution using single-poly pFlash technology
Grant 7,339,229 - Wang , et al. March 4, 2
2008-03-04
Method for analyzing the structure of deep trench capacitors and a preparation method thereof
Grant 7,329,550 - Liu , et al. February 12, 2
2008-02-12
Cooling fan
App 20070114859 - Chen; Chien-Jung ;   et al.
2007-05-24
Cooling fan
App 20070114860 - Chen; Chien-Jung ;   et al.
2007-05-24
Nonvolatile memory solution using single-poly pFlash technology
App 20060244043 - Wang; Alex ;   et al.
2006-11-02
Nonvolatile memory solution using single-poly pFlash technology
Grant 7,078,761 - Wang , et al. July 18, 2
2006-07-18
Method for analyzing the structure of deep trench capacitors and a preparation method thereof
App 20060094132 - Liu; Hsien-Wen ;   et al.
2006-05-04
Method of forming a bottle-shaped trench in a semiconductor substrate
Grant 6,867,089 - Chen , et al. March 15, 2
2005-03-15
Method to prevent electrical shorts between tungsten interconnects
Grant 6,867,142 - Chen , et al. March 15, 2
2005-03-15
Method of fabricating shallow trench isolation
Grant 6,774,007 - Liu , et al. August 10, 2
2004-08-10
Method of forming a shallow trench isolation in a semiconductor substrate
Grant 6,727,159 - Chen , et al. April 27, 2
2004-04-27
Method of forming a bottle-shaped trench in a semiconductor substrate
Grant 6,716,696 - Chen , et al. April 6, 2
2004-04-06
Method of forming a bottle-shaped trench in a semiconductor substrate
Grant 6,713,341 - Chen , et al. March 30, 2
2004-03-30
Method of fabricating shallow trench isolation
App 20030201473 - Liu, Hsien-Wen ;   et al.
2003-10-30
Method of forming a bottle-shaped trench in a semiconductor substrate
App 20030148580 - Chen, Yi-Nan ;   et al.
2003-08-07
Method of forming a bottle-shaped trench in a semiconductor substrate
App 20030143855 - Chen, Yi-Nan ;   et al.
2003-07-31
Method of forming a bottle-shaped trench in a semiconductor substrate
App 20030143802 - Chen, Yi-Nan ;   et al.
2003-07-31
Method of forming a shallow trench isolation in a semiconductor substrate
App 20030143854 - Chen, Yi-Nan ;   et al.
2003-07-31
Method to prevent electrical shorts between tungsten interconnects
App 20030139058 - Chen, Yi-Nan ;   et al.
2003-07-24
Method of rounding the corner of a shallow trench isolation region
App 20020001916 - Chen, Yi-Nan ;   et al.
2002-01-03

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed